SystemVerilog DPI: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
removed unnecessary first person refrence.
Line 1: Line 1:
'''Direct Programming Interface''' (DPI) is an interface which we use to interface [[System Verilog]] with foreign languages. These Foreign languages can be a C, C++, System C as well as others. DPI's consists of two layers: A [[System Verilog]] Layer and a Foreign language layer. Both the layers are isolated from each other.
'''Direct Programming Interface''' (DPI) is an interface which can be used to interface [[System Verilog]] with foreign languages. These Foreign languages can be a C, C++, System C as well as others. DPI's consists of two layers: A [[System Verilog]] Layer and a Foreign language layer. Both the layers are isolated from each other.


==Explanation==
==Explanation==

Revision as of 22:50, 11 June 2009

Direct Programming Interface (DPI) is an interface which can be used to interface System Verilog with foreign languages. These Foreign languages can be a C, C++, System C as well as others. DPI's consists of two layers: A System Verilog Layer and a Foreign language layer. Both the layers are isolated from each other.

Explanation

Direct Programming Interface (DPI) allows direct inter language function calls between the System Verilog and Foreign language. The functions implemented in Foreign language can be called from System Verilog and such functions are called Import functions similarly functions implemented in System Verilog can be called from Foreign language (C/C++ or System C) such functions are called Export functions. DPI's allows transfer of data between two domains through function arguments and return.

Function Import and Export

1) Function Import:- A function implemented in Foreign language can be used in System Verilog by importing it. A Foreign language function used in System Verilog is called Imported function.

Properties of Imported Function and Task

  1. An imported function shall complete their execution instantly and consume zero simulation time. Imported task can consume time.
  2. Imported function can have input, output, and inout arguments.
    • The formal input arguments shall not be modified. If such arguments are changed within a function, the chages shall not be visible outside the function.
    • Imported function shall not assume any initial values of formal output arguments. The initial value of output arguments is undetermined and implementation dependent.
    • Imported function can access the initial value of a formal inout argument. Changes that the Imported function makes to a formal inout argument shall be visible outside the function.
  3. An Imported function shall not free the memory allocated by System Verilog code nor expect System Verilog code to free memory allocated by Foreign code or (Foreign Compiler).
  4. A call to an Imported task can result in suspension of the currently executing thread. This occurs when an Imported task calls an Exported task, and the Exported task executes a delay control, event control or wait statement. Thus it is possible for an Imported task to be simultaneously active in multiple execution threads.
  5. A Imported function or task can be equip with special properties called pure or context.

Pure and Context Tasks and Functions

Pure Functions

A function whose results solely depends on the value of its input arguments with no side effects is called Pure function.

Properties of Pure Functions

1) Only Non-Void functions with no output or inout can be called as Pure functions.

2) Functions specified as Pure shall have no side effects, their results need to depend solely on the values of their input arguments.

3) A Pure function call can be safely eliminated if its result is not needed or if its results for the same value of input arguments is available for reuse without needing to recalculate.

4) A Pure function is assumed not to directly or indirectly perform the following:

  a) Perform any file operation.
  b) Read or Write anything in Environment Variable, Shared memory, Sockets etc. 
  c) Access any persistent data like Global or Static variable.

5) An Imported task can never be declared Pure.

Context Tasks and Functions

An Imported task or function which call "Exported" tasks or functions or accesses System Verilog data objects other than its actual arguments is called Context task or function.

Properties of Context Tasks and Functions

1) A Context Imported task or function can access (read or write) any System Verilog data object by calling (PLI/VPI) or by calling Export task or function. Therefore, a call to Context task or function is a barrier for System Verilog compiler optimization.

Import Declaration

import “DPI-C” function int calc_parity (input int a);

Export Declaration

export “DPI-C” my_cfunction = function myfunction;

DPI Example

Calling 'C' Functions in System Verilog

C - Code File

  #include <stdio.h>
  #include <stdlib.h>
  int add()
 
  {
  int a = 10, b = 20;
  a = a + b;
  printf("Addition Successful and Result = %d\n", a);
 
  return a;
  }

System Verilog Code File

  module tb_dpi;
 
  import "DPI-C" function int add();  
     
  int j;
  initial
  begin
    $display("Entering in System Verilog Initial Block");
    
    #20;
    j = add();
 
    $display("Value of J = %d", j);
    
    $display("Exiting from System Verilog Initial Block");
    
    #5 $finish;
  end
  endmodule

References

[1]

  • Gopi Krishna (2005-11-09). "SystemVerilog DPI Tutorials".