SystemVerilog DPI

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by Kunal1514 (talk | contribs) at 05:28, 11 January 2008 (Created page with 'Direct Programming Interface (DPI): Direct Programming Interface (DPI) is a System Verilog construct which we use to interface System Verilog with foreign languages...'). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

(diff) ← Previous revision | Latest revision (diff) | Newer revision → (diff)

Direct Programming Interface (DPI): Direct Programming Interface (DPI) is a System Verilog construct which we use to interface System Verilog with foreign languages. These foreign languages can be your C, C++, System C and many more. DPI's consists of two layers first System Verilog Layer and second Foreign language layer. Both the layers are isolated from each other.