RCA cleaning

from Wikipedia, the free encyclopedia

RCA cleaning (Engl. RCA clean ) - very rarely modified Huang cleaning - is a process for window cleaning ( wafer purification) in microelectronics .

development

The wet chemical cleaning process was developed in the 1960s by W. Kern and D. Puotinen on behalf of the Radio Corporation of America (RCA) and first published in 1970.

Components

The RCA process consists of two different baths:

Both components are usually used at 75–85 ° C and process times of 1 to 5 minutes (previously 10 to 20 minutes).

Standard Clean 1

Particle removal in the SC-1 bath is based on two processes:

  • Since hydrogen peroxide has a strong oxidizing effect, the wafer surface and the particles begin to oxidize, which means that the adhesive forces between the particle and the wafer decrease and the particles can become detached in the SC1 bath.
  • The ammonium hydroxide etches the wafer surface and thus under-etches the particles. The hydroxide ions also create a negative charge on the wafer and the particles, which repels existing particles and at the same time prevents the particles from being deposited again.

Standard Clean 2

SC-2 is used to remove metallic (and some organic) contaminants from the wafer. To do this, you have to combine a high oxidation potential with a low pH value . The adhering metals are converted into their soluble metal chloride compounds by the hydrochloric acid. The wafer surface is then passivated by the hydrogen peroxide due to the formation of a metal oxide layer. Organic compounds adhering to the wafer are simply oxidized by the hydrogen peroxide.

Changes to the RCA process

Over time, the RCA process has changed as it consumes chemicals and high-purity DI water in very large quantities. Only a few companies still use the RCA process in its original form. Nowadays, very dilute solutions (up to 100 times thinner) are used; they have the same or a better cleaning efficiency than the original solution. For example, the mixing ratio could be 1: 4: 50 instead of 1: 1: 5. In addition, thinner solutions are a big plus in terms of occupational safety and health and drastically reduce the consumption of chemicals.

A process step that is no longer used today is the so-called HF dip, in which the wafers are briefly immersed in a diluted (1–2%) hydrofluoric acid. It has been shown that the etching makes the silicon surface attractive for organic contaminants.

The reason for the continued success of RCA cleaning is the good availability of chemicals and DI water. With new developments such as the on-site generation of the process media, very high degrees of purity can be achieved.

disadvantage

  • RCA generates a large amount of chemical fumes that must be extracted to prevent the fumes from entering the clean room. In addition, the concentration of the solution slowly changes as a result of the outgassing.
  • Since SC-1 etches the surface, a certain surface roughness is created by the cleaning process.

Individual evidence

  1. ^ W. Kern, D. Puotinen: Cleaning Solutions Based on Hydrogen Peroxide for Use in Silicon Semiconductor Technology . In: RCA Review 187 (June 1970)
  2. Alexander Leopold: New concepts for cleaning silicon surfaces pdf

literature

  • Michael Quirk, Julian Serda: Semiconductor Manufacturing Technology. Prentice-Hall, 2000, ISBN 0-13-081520-9