Next generation lithography

from Wikipedia, the free encyclopedia

Under next-generation lithography ( NGL , dt. " Next-generation lithography method ") are in the semiconductor technology combined structuring processes that are candidates for the succession of the conventional photolithography based on ultraviolet radiation in the industrial production of microelectronic circuits are. The procedures can be roughly divided into three groups:

  1. Process based on electromagnetic radiation with an even shorter wavelength, e.g. EUV and X-ray lithography
  2. Method based on other particle radiation , e.g. electron and ion beam lithography
  3. alternative processes such as nano-embossed lithography or step-and-flash-imprint-lithography

background

The structuring principle currently (2019) mainly used in semiconductor technology, photolithography, is based on the transfer of a structure pattern from a photomask into a photo- structurable, i.e. light-sensitive, thin layer of photoresist (resist) on a wafer . The result is a structured photoresist layer on the wafer, which is used as a masking layer for subsequent processes such as the etching of underlying material or ion implantation . Relatively little has changed in this basic principle since the early days of microelectronics, even if the trend towards higher integration densities and thus smaller components made constant further development necessary. Important parameters for improving the resolution are on the one hand the wavelength of the light used and on the other hand the numerical aperture of the photolithography system.

The wavelength of the light used limits the maximum resolution of an image in the resist due to diffraction effects if the desired structure sizes are in the range of the wavelength of the light used and below. In order to be able to manufacture smaller structures, ever shorter wavelengths were used, so that from the visible light (g-line of a mercury vapor lamp ) that was initially used, wavelengths in the ultraviolet spectral range ( ArF - excimer lasers ) have now arrived. Further improvements are optimizations in plant construction as well as the introduction of various special technologies such as immersion lithography , oblique lighting or multiple structuring . These developments have led to the fact that until today (2019) products can be manufactured using the so-called 7 nm technology using immersion lithography and ArF excimer lasers (wavelength: 193 nm). This development was considered technically and physically impossible just a few years ago, which is why the search for an alternative method, next-generation lithography, began as early as the 1990s.

EUV lithography

EUV lithography ( extreme ultra violet ) with a wavelength of 13.5 nm is a logical continuation of optical lithography towards shorter wavelengths and thus smaller structures . For a long time it was a necessary step in the production of circuits with structures of 22 nm, 16 nm and smaller traded. However, it was not used in production for the first time until 2018 at Samsung with the introduction of its 7 nm FinFET technology (7LPP). Technology leader TSMC, on the other hand, is currently producing its 7 nm process (CLN7FF) with multiple exposure using 193 nm immersion lithography, but will also use EUV for the most critical levels in 2020 with the 2nd generation (CLN7FF +).

With EUV lithography, the systems must be operated completely in a high vacuum, and the radiation can no longer be directed through lenses, but only through mirrors. Since there are practically no usable materials with sufficient transparency at 13 nm and gases of all kinds also strongly absorb radiation. For a long time, the low throughput and, above all, the lack of a suitable high-power radiation source was considered a problem, since the required EUV radiation cannot be emitted directly by a laser, as is still common in UV lithography. The ASML Twinscan NXE: 3400B lithography system used by Samsung is considered to be the first system that provides sufficient performance for volume production. A pulsable CO2 laser system from the Trumpf company is used as the EUV radiation source, which guides laser light onto falling tin drops into a vacuum chamber, these are ionized and the resulting plasma emits the desired EUV radiation, which is then passed through a mirror system (from Carl Zeiss) is collected.

X-ray lithography

When using X-rays from sources with the necessary convergence (e.g. synchrotron radiation ), smaller structures can theoretically be produced, or the process has a considerably greater depth of field . The mask technology, however, is very complex, so that up to now no large-scale application of this process is foreseeable. It is closely related to EUV lithography . Considerable research activities were carried out in the late 1980s and early 1990s, for example at the first Berlin electron synchrotron BESSY by the Fraunhofer Institute for Silicon Technology . The synchrotron radiation used there had an emission maximum at a wavelength of approx. 7 nm. X-ray lithography is used as part of the LIGA process to produce three-dimensional structures with a large aspect ratio (ratio of height to lateral dimensions). These structures are used in microsystem technology . Essential work on this X-ray depth lithography is being carried out at the Karlsruhe Research Center with the ANKA synchrotron radiation source and the synchrotron radiation laboratory.

Electron and ion beam lithography

Metallic bridge-shaped structure made of aluminum, produced with electron beam lithography

With particle beam lithography ( electron and ion beam lithography) the technical difficulties of high-resolution lithography can be better controlled. The reason for this lies in the diffraction behavior of such particle beams . If one takes approximately half the wavelength of the radiation used for exposure, then it can be seen that the resolving power of particle beams is significantly increased. Because the De Broglie wavelength of electrons with an energy of a few kiloelectron volts is only a few picometers (compared to nanometers in "photonic processes").

In contrast to the lithography processes that use photons , with these methods the energy is transferred to the "photoresist" by means of electrons or ions. Instead of an optical lens system, electron beam writers are used, for example . In terms of their functional principle, they correspond to modified scanning electron microscopes . Such systems with direct writing processes have been used for a long time for the production of photo masks for optical lithography - but these are usually four or five times larger than the later structures on the wafer that are mapped using conventional methods. However, the low throughput of this direct write method prevents the use of such systems in the mass production of semiconductor components.

The high costs for high-resolution photomasks, however, lead to increased attempts to introduce electron beam lithography into chip production systems, at least for trial production of the latest technologies and particularly critical (and therefore particularly expensive) production steps in normal production. For this reason, alternative concepts such as multi-beam writers (up to several hundred electron beams in parallel) or techniques comparable to conventional photolithography such as flood or projection exposure using ion beams are used. With them, higher wafer throughputs would be possible. But even here, due to the complex mask technology, no large-scale application is currently in sight.

Nano-Imprint-Lithography (NIL)

This process is an alternative to classic EUV lithography. Instead of exposure, an embossing process is used here. The resolution limits of the nano-imprint process are currently 5 nm. The manufacturer Molecular Imprints already offers some smaller systems for a 20-nm process for the production of hard disks , with an output of up to 180 discs per hour. So far, however, the process has not been able to establish itself in the (mass) production of microelectronic integrated circuits; the further future is not foreseeable, also because of the good progress made in EUV lithography. Of the major manufacturers, only Toshiba is officially working on applications (DRAM) for the NIL.

literature

  • Suman Chakraborty: Microfluidics and Microfabrication . Springer, 2009, ISBN 978-1-4419-1542-9 , section 5.5. Next-Generation Lithography , p. 213-220 .
  • Lithography. In: International Technology Roadmap for Semiconductors 2011 Edition. 2011 ( PDF ).

Web links

Individual evidence

  1. Samsung Electronics starts production of EUV based 7nm chips. Retrieved February 13, 2019 .
  2. TSMC kicks off volume production of 7nm chips. Retrieved February 13, 2019 .
  3. Martin-W. Buchenau, Joachim Hofer: The Swabians play a decisive role in the global chip business. In: Handelsblatt. May 20, 2019, accessed August 4, 2019 .
  4. Website of the synchrotron radiation source ANKA
  5. a b Mark LaPedus: What Happened To Nanoimprint Litho? March 28, 2018, accessed March 11, 2019 .
  6. Introducing the Imprio HD2200 ( Memento January 10, 2009 in the Internet Archive ). Product page, Molecular Imprints, accessed March 2, 2009.