Photolithography (semiconductor technology)

from Wikipedia, the free encyclopedia

The photolithography (including photolithography ) is one of the main methods of semiconductor and micro-systems technology for the production of integrated circuits and other products. With the help of an exposure process, the image of a photomask is transferred to a light-sensitive photoresist . The exposed areas of the photoresist are then dissolved (alternatively, the unexposed areas can also be dissolved if the photoresist hardens under light). The result is a lithographic mask that enables further processing using chemical and physical processes, such as the introduction of material into the open windows or the etching of recesses under the open windows.

process description

Photolithography with a positive resist and wet chemical subtractive structure transfer

Basic principle

The basic principle of photolithography is the structuring of a thin, all-over deposited sacrificial layer of photoresist through a local change in the chemical properties of the photoresist and its removal in the changed (positive resist) or unchanged (negative resist) areas.

Schematic representation of a system for rotary coating for the application of photoresist, as used in modern systems in the semiconductor industry. The picture shows the main components of the system as well as the photoresist after dosing (1.) and at the end of the process (after rotating the wafer)

In the first part step in that the liquid photoresist is by spin coating (engl. Spin-coating ) or other suitable methods onto a substrate ( wafer ) is applied. The lacquer layer is then treated with a heating step at approx. 110 ° C ( pre bake or soft bake ), during which the solvent and a large part of the water present desorbs , which stabilizes the photoresist. For the chemical reactions during the subsequent exposure, however, it is important that not all of the water is desorbed and that a certain amount of residual water remains in the layer.

Before the photoresist is exposed, the wafer is first aligned precisely with the optical system of the exposure system. As a rule, special markings from previous process steps (direct alignment) or system-specific fixed points (indirect alignment) are used for this. The exposure itself can be carried out in very different ways. Various exposure techniques (see below) are available for this, which not only differ in the wavelength of the monochromatic light used, but also in the position of the mask, the sequence of exposure (flood exposure, stepper, scanner, ...), the polarization of the Light, etc. The chemical properties of the photoresist in the exposed areas are changed by a photochemical reaction - depending on the type of photoresist, the lacquer is either soluble or insoluble in relation to the developer solution used. Another post exposure bake is used to diffuse the (photo) chemical components (smoothing the photoresist structure profiles) and, if necessary, to intensify the photochemical reaction in the case of photochemically amplified resist (CAR).

In the third step, the part is carried out development of the photoresist, that is, the soluble areas of the layer using a developer solution (eg. As a weakly basic TMAH solution) wet-chemically by spraying, dipping or dropping dissolved and removed. The wafer is then rinsed with deionized water and spun dry. This is followed by another tempering step ( hard bake , approx. 100 to 180 ° C.) in which the now structured photoresist is dried again and, if necessary, stabilized by a crosslinking reaction. This is necessary because the layer is heavily stressed in the subsequent process steps (dry etching, ion implantation).

Finally, the entire process is checked. In addition to an optical inspection, detection of defects (trapped particles, undeveloped areas, etc.), this can also include measurement of the alignment with respect to previous process steps ( overlay measurement, optical as well as by scanning electron microscope) or the line width (CD measurement; scanning electron microscope). Should have been here found too many errors, the photoresist layer is removed prematurely, and the entire procedure repeated again (reworking, Eng. Rework ).

The photoresist layers structured in this way generally serve as an aid for further processes, such as the etching of underlying layers, the introduction of foreign atoms (see doping and ion implantation ) or the selective deposition of materials (see LIGA and lift-off ). The photoresist layer serves as a masking layer in order to protect certain areas from the subsequent process step. After this structure transfer, the photoresist mask is completely removed again. Plasma incinerators (oxygen plasma ) are usually used for this purpose. Alternatively, the photoresist layer can also be dissolved wet-chemically. Which removal process is used depends on the lacquer used and the entire manufacturing process; for example, the lacquer can change significantly when an underlying layer is dry-etched and thus no longer be soluble, or the chemicals used react with other layers. Repeating this process sequence of producing the structured photoresist layer and transferring the structure to a wide variety of layers is a key technology in the production of integrated circuits (colloquially known as “microchips”). The further development of photolithography or its replacement by newer processes are decisive for how long the development of microprocessor technology can still follow the planning basis “ Moore's Law ”.

Improvements

In order to improve the adhesion of the photoresist layer, the wafer is often treated with an adhesion promoter such as hexamethyldisilazane (HMDS) before the photoresist is applied. In addition, auxiliary layers are used to reduce reflections and standing waves ( anti-reflection layer) , improve the planarity of the surface (better control of the layer thickness homogeneity) or to protect the photoresist during immersion lithography .

Especially in industrial production, the back and the wafer edge (front; up to 2 mm) are sprayed with solvent during the process. On the one hand, this is intended to prevent paint build-up on the rear and the formation of a paint bead on the edge. The background to this is that lacquer residues or other particles lie between the wafer and the support during exposure (English chuck ) and lead to a local increase in the wafer surface or warping of the wafer. These small height differences are outside the tolerance limits for the focus and lead to errors in the mapping. The edge bead removal (EBR), on the other hand, is intended to remove the unwanted lacquer bead on the wafer edge, it is formed by the surface tension of the lacquer during coating. Lacquer beads are characterized by changes in layer thickness in the edge area, which in turn have a negative impact on the exposure process in this area. In order to achieve a maximum yield of chips, it is therefore necessary to adjust the layer thickness in this area. This can be achieved through the use of edge stripping, i.e. the local thinning of the photoresist (the thinned parts are thrown away from the wafer by centrifugal force). In addition, the homogeneity and stability of the photoresist at the edge of the layer can be improved by broadband edge exposure. It takes place directly after the coating and the "soft bake" of the photoresist.

Image terms

When describing the photolithographic image, a distinction must be made between various forms of the lithographic image. They describe the development of the image from the photomask to the developed structure in the photoresist. Due to the lack of German-language specialist literature, the following, mostly English-language terms are used:

Makeup image
The intensity distribution of the electromagnetic field of the radiation used directly after the mask
aerial image
(dt approximately:. Aerial ) The intensity distribution of the electromagnetic field of the incident radiation before absorption directly in the photoresist
dose image
(dt approximately:. dose image ) The distribution of the absorbed energy in the photoresist volume
latent image
(dt. latent image ) Describes the distribution of the chemically active compounds in the photoresist, which was generated by the absorption of the incident radiation.
developed image
(dt approximately:. developed image ) Describes the remaining on the substrate material distribution of the photoresist after its development

Resolving power and depth of field

In optical lithography, the structure of a photomask is transferred into a light-sensitive photoresist by means of shadows or projection . The resolving power , that is to say the ability of the optical system to image the smallest structures in the photoresist, is essentially determined by the light wavelength used and the ability of the system to capture enough diffraction orders of the mask. It can be roughly described by:

Here is the critical dimension , that is the smallest mappable line width (often with the English terms minimum feature size or critical dimension called), which is about one dependent on the imaging and paint system factor in production by a factor of 0.4, the Wavelength of the light used and the numerical aperture of the last lens in front of the wafer.

Spectral representation of the wavelengths used in photolithography

Based on this equation, the resolution can be improved by reducing the wavelength used and the k 1 factor. In recent years, numerous improvements have been made to the photolithographic processes (paint chemistry, etc.) through which the k 1 factor could be reduced from approx. 0.8 to 0.38 in today's top products (theoretical minimum is 0, 25). The wavelength used (more precisely vacuum wavelength) has been gradually reduced over the years in order to improve the resolution. In the mid-1970s, the g-line (434 nm) and since the early 1980s the i-line (365 nm) of the emission spectrum of a mercury vapor lamp were used . In the 1990s, the switch to monochromatic light from excimer lasers followed , here KrF excimer lasers (248 nm, also known as deep ultraviolet , DUV) and later (to this day) ArF excimer lasers (193 nm).

It is also possible to improve the resolution by increasing the numerical aperture. There have also been numerous improvements and optimizations here. The NA for top products with g-line exposure was a maximum of 0.45 (theoretical maximum for a non-immersion system is 1). In today's systems based on ArF excimer lasers used in industry, this value has been increased to approx. 0.93. Thanks to these improvements, structures below 22 nm can also be resolved today (2016) - further reductions are possible through the use of immersion liquids (see immersion lithography ) and even shorter wavelengths.

Another important parameter in the optical imaging is the depth of field (Engl. Depth of focus DOF) of the imaging system.

with another process-specific parameter (also called the Rayleigh coefficient). A high depth of field is desirable for the best possible image in a lacquer of finite thickness. In this way, a uniform image can be achieved over the entire layer thickness and irregularities that typically occur in the wafer topography can also be compensated for. As can be seen in the previous equation, the depth of field also decreases with increasing numerical aperture. For this reason, the NA must be coordinated with the rest of the process and the desired requirements in practice.

In addition , various techniques are used nowadays for the exposure of critical levels, i.e. the production of the smallest structures in ICs, such as the gate contact or the first metallization levels, which improve the resolution and the imaging fidelity. These include, above all, the optical proximity correction (OPC), phase masks (Engl. Phase-shifting mask, PSM) oblique illumination (Engl. Off-axis illumination , OAI) and reflection less techniques (eg. As antireflective layers ) as well as multiple patterning processes such as the double exposure - and the double patterning process . With the help of these techniques, significantly smaller structure widths below the diffraction limit can be produced, for example 65  nm with a 193 nm ArF excimer laser, or 28 nm if an immersion medium is also used. For cost reasons, however, not only a specific technology is used in production, but systems of different wavelengths are used depending on the resolution requirements. The requirements with regard to the resolution at higher metallization levels are by far not as high as they are required for structuring the gate (of a MOSFET ).

Defects

Defects are one of the essential criteria for assessing the imaging quality of a photolithographic process. The main causes of defects are particles from the environment or previous processes as well as inhomogeneities in the layer thickness of the photoresist. Mechanical damage to the mask or the wafer are also possible defects that can occur primarily during contact exposure. In addition to the detection of defects on the wafer, the detection and removal of defects on the photomask are particularly important. Because in an exposure field of a photo mask there is the layout of approx. 2 to 6 chips (exposure using the step-and-repeat method). A defect on the photomask would therefore be reproduced multiple times on the wafer and thus drastically reduce the yield.

Particles from the environment are mostly naturally occurring dust particles in the air. For example, they can be deposited on the photomask or the top of the applied photoresist layer and lead to additional masking there. Similar to local defects in the photomask (e.g. chromium residues or too much removed chromium) image errors are the result. For this reason, the exposure and the entire handling of the photomasks and wafers take place in a clean room environment with a greatly reduced dust concentration. Since such particles cannot be completely prevented in this environment either, the mask is regularly inspected for defects and, if necessary, blown off with purified air. In addition, the structured side of the photomask is protected by a so-called pellicle. A pellicle is a transparent protective film that is stretched a few millimeters above the exposure field of the photo mask. It is supposed to prevent particles from getting stuck in the fine trenches of the mask.

In addition to the particles that have a masking effect, particles on the back of the wafer or the wafer support are another cause of imaging errors. They cause a local shift of the lacquer layer in the Z-direction and thus lead to incorrect focusing. Sources of such particles may include a. be previous processes, for example particles that were created during a CVD coating and stick to the back of the wafer.

Defects in the paint layer can on the one hand be global deviations in the layer thickness from the target value, on the other hand local inhomogeneities caused by particles and z. B. occur due to problems with coating. The global layer thickness differences can be caused, for example, by incorrect process parameters or changes in the paint properties. They are relatively easy to control and can be largely prevented by regular test coatings. Local layer thickness differences arise, for example, from particles on the wafer; they interfere with the even distribution of the lacquer and usually result in a defect with a comet-like appearance. Other causes are dripping paint nozzles or splashback.

Both optical methods and scanning electron microscopy are used to detect defects . For example, the coated and processed wafer is optically inspected and compared fully automatically with a reference image. This allows larger defects, especially local defects in the paint thickness, to be identified. The use of scanning electron microscopy on coated and exposed wafers is largely avoided and is only used for local detailed investigations or line width measurement (for monitoring the exposure dose and the focus). The main reason for this is that the electron beam chemically changes the paint and thus influences the structure shown. This can have a detrimental effect on subsequent processes such as dry etching. The inspection of the photo masks is also largely carried out using optical measuring methods. In particular, automatic methods have become established in which the local intensity distribution of the transmitted and reflected components of a perpendicularly incident laser beam is measured. By comparing with the layout data, defects in mask production can be determined. In production, the comparison is usually made with data from a reference measurement or with a neighboring chip on the same photomask.

Exposure techniques

Simplified representations of the exposure techniques (left: contact exposure; 2nd from left: proximity exposure; 3rd from left: simple projection exposure; right: more modern projection exposure)

Contact exposure

Here the photo mask is brought into direct contact with the wafer. The process offers the best resolution of the shadow casting process, since the loss of resolution due to light diffraction is reduced to the minimum caused by the thickness of the paint. The disadvantage, however, is that the mask or the photoresist applied to the wafer can be damaged by the contact, e.g. B. if there is a speck of dust between the two. In addition, defects (e.g. contamination of the mask) as well as the desired structures are transferred 1: 1 to the photoresist, which can lead to gross defects such as short circuits on the wafer.

Proximity exposure

With this type of exposure, the mask is positioned with a proximity gap of approx. 10–50 micrometers above the photoresist. This distance helps to protect the resist and mask from damage.

Projection exposure

In the case of projection exposure, the photomask is not imaged in the resist on a 1: 1 scale, as is naturally the case with contact and proximity exposure, but the masks are reduced in size by a lens system (typically on a 5: 1 or 4: 1 scale) . A great advantage here is that particles that have settled on the mask are also reduced in size and therefore have less influence on the structures created. Furthermore, the photomasks used are much easier (and cheaper) to manufacture, since the structure widths on them are many times larger.

Since the image of a mask cannot cover the entire wafer in this way, the wafers are moved using extremely precise mechanics (e.g. piezo linear drive) and positioned so that the images of the mask lie on a grid with tight tolerances (so-called Step-and-repeat process, the equipment used for this is also called “wafer stepper ”). Because of the finite depth of focus of the imaging optics, only thin photoresists can be exposed with this method, while lithography in thick photoresists is also possible with contact and proximity exposure (an extreme example is the thick resist SU-8 ).

In order to generate deeper structures (more precisely: structures with high aspect ratios , i.e. structures that are deeper than wide) based on the projection exposure, the (thin) photoresist is applied to a thick layer of material that can be anisotropically etched after development . Anisotropic etching processes are, for example, reactive ion etching or ion thinning .

Special forms and further developments

Immersion lithography

Immersion lithography essentially corresponds to projection exposure. However, during exposure, there is no air between the projection lens and the photoresist, but a liquid medium. The immersion liquid can be ultrapure water , for example . Their refractive index, which is higher than that of air, increases the numerical aperture of the imaging system. This allows smaller structures to be created.

IBM published the first developments in February 2006. Even then, in laboratory tests, they showed a structure mapping with a line width ( critical dimension , CD) of 29.9 nm. This technology was first introduced in mass production in 2007. Mirror lens lenses were also used here for the first time . At present (January 2010) this technology in the production of chips achieves structure sizes of 32 nm (with 193 nm laser radiation). By using immersion lithography, the switch to new and therefore more expensive lithography concepts such as X-ray or electron beam lithography has so far been postponed.

In this case, at least some other techniques and exposure methods are used that allow smaller structures to be reproduced in the photoresist. Examples include the double exposure - and the double patterning method in which a wafer is exposed twice. First, a structure is applied with the maximum achievable optical resolution (e.g. with a structure width of 45 nm), then the position of the wafer is changed by half the resolution (22 nm) and then a second structure is projected. The positioning accuracy of the wafer stepper is sufficient for this process, but the repeated exposure increases the process times. With double patterning in combination with immersion lithography at 193 nm, structure sizes of 22 nm could be achieved. A combination of double patterning and 32 nm immersion lithography could even enable a structure size of 16 nm, which up to now seemed reserved for EUV lithography . To achieve this goal, the optical properties of ultrapure water as an immersion liquid are no longer sufficient. Immersion liquids with a higher refractive index of up to 1.8 are currently being used, or alternatives are being researched. Among other things, they are intended to enable better adaptation to the lens system (one of the goals is, for example, to further increase the numerical aperture).

Grayscale lithograph

The gray-tone lithography was developed with the aim of obtaining a desired paint topography after development and thus enabling new applications in the field of microsystem technology, for example for the production of 3D structures. This pursues a new concept in photolithography, which normally involves the production of binary structures, i.e. structures consisting of distant and unchanged areas. The surface topography is achieved by a lateral variation of the exposure dose using the monotonous but non-linear development rate of the exposure of real photoresists. In the case of thick layers of lacquer, i.e. thicknesses over 5 µm, that is to say well above the penetration depth of the UV radiation used of 1–2 µm −1 , a similar effect can also be achieved by controlling the exposure time. This takes advantage of the fact that, after exposure, the lacquer has a significantly lower absorption for the UV radiation used. After development, the photoresist structure can be transferred to the underlying functional layer, for example by means of strongly anisotropic dry etching processes.

In practice, a variable exposure dose can be implemented on the one hand via multiple exposures and on the other hand via gray-tone masks and pseudo-gray-tone masks. With multiple exposures, depending on the desired structure, either a mask is shifted step by step or several binary masks with different shading are used. In addition, each exposure step can also have different exposure doses in order to achieve better results. Pseudo-gray-tone masks are normal binary masks in which structures are used that are smaller than the resolution limit of the projection objective ( diffractive optics ). This leads to a brightness modulation in the image area (similar effect to rasterized images, e.g. in newspaper printing ). “Correct” gray-tone masks, on the other hand, have areas with different degrees of transmission . This is usually implemented via a layer of a (partially) absorbent material, the thickness of which is varied in the range of complete absorption and transmission. This can e.g. B. can be realized via a very finely graduated profile.

Laser lithography / maskless direct exposure

With UV radiation sources such as UV LEDs , semiconductor lasers , frequency-multiplied solid-state lasers or excimer lasers or similar sources, the copying step of lithography can be replaced by direct structuring. For high resolutions z. B. ultrashort laser pulses with a one-photon energy below the absorption threshold of the photosensitive medium can be used, comparable to multiphoton microscopy . This means that the material to be exposed is transparent to the laser light used. However, if this laser beam is strongly focused, multiphoton absorption processes become probable in the focal volume. This enables chemical or physical modification in the focal volume, and ultimately selective solubility of the exposed area relative to the surrounding area. After the bath in a developer, unexposed or exposed areas can be dissolved out, depending on the photosensitive material used. Thus, virtually any 3D structures of different photosensitive materials (e.g., SU-8. Ormocers , polydimethylsiloxane , chalcogenide - glasses ) are prepared. For lower resolutions, linear absorption is used and a latent image is dynamically generated in the photoresist using a raster or scanning process.

Development history

The origins of photolithography as a process in semiconductor technology lie in the printing technology of the same name , one of the oldest photographic processes. This technique, first used in 1822 by the French Niépce , allows a photographic negative (e.g. a transparent drawing) to be transferred onto the lithographic stone . He knew that a light-sensitive layer of asphalt loses its solubility ( photopolymerization ) if it is exposed to sunlight for a few hours. The exposed stone was developed with ether and a positive asphalt image remained, which took on color and could be transferred to paper. This technique, from which the term photolithography, ie “drawing with light on stone” comes, was adapted in 1955 by Jules Andrus and Walter L. Bond ( Bell Labs ) for structuring printed circuit boards and wafers . Since then numerous improvements have been introduced, such as light sources with more brilliant and short-wave radiation, photoresists, etc., and the process has become a key technology in the manufacture of microelectronic components and circuits as well as microsystems .

Mercury vapor lamp as a light source

In the past, the main drivers for the continuous improvement of photolithography and the provision of sufficiently good imaging techniques for the further development of microelectronics to ever smaller structure sizes have been the use of light of shorter wavelengths, improvements in lithography systems in the field of lighting and projection lens optics and further development of photoresists as well as the Development of new exposure techniques for the correction and utilization of diffraction effects.

In the early days of microelectronics in the early 1970s, the strong g-line (434 nm) of the emission spectrum of a mercury vapor lamp was used for photolithographic structuring . Together with the contact or proximity exposure and typical photoresists based on novolak (with or without diazonaphthoquinone (DNQ) to increase the development contrast), it enabled the production of structures in the range of 5 to 6 μm (for CPUs such as the Intel 8080 ). Due to the typical wafer sizes at the time with diameters of 2 or 3 inches, the entire wafer was processed in one exposure step. Because of its simplicity, this method is still used in research today.

In the early 1980s, the industry switched to using the i-line (365 nm). In the mid-1980s, i-line lithography was the top method with which structure sizes around 1 μm (for CPUs such as the Intel 80386) could be produced. With this changeover, the first projection exposure systems with reduction factors of 4 and 5 were introduced. Associated with this was the introduction of so-called steppers , as the mask sizes were not efficient for a full exposure of 4-inch wafers. In the case of steppers, the wafer is exposed in several steps in the form of a grid, always using the same mask. One or more dies are exposed with each step . The production of structure sizes below one micrometer was initially considered a major challenge for optical lithography and the achievement of this goal was not considered safe.

Excimer laser as a light source

The first lithography systems that allow structure sizes below a micrometer were introduced in the early 1990s. They used radiation sources with a wavelength of 240 to 255 nm, initially mercury vapor lamps and a little later KrF excimer laser (248 nm, also known as deep ultraviolet , DUV). The switch to this wavelength and the increased requirements in terms of resolution made the development of new photoresists necessary. These include, among other things, chemically amplified resist (CAR) based on poly (hydroxystyrene) ( PHS ). When irradiated with light with a wavelength of 248 nm, these photoresists release a photo acid generator (PAG) which, for example, photocatalytically decomposes the positive photoresist and thus reduces the required exposure dose and thus also the exposure time. The increase in sensitivity and the introduction of phase masks (engl. Phase shift Photomasks , PSM) resulted in 1993 commercially available products were microns produced with structure sizes in the range of 0.5.

At the beginning of the 2000s, the last change in wavelength in industry followed, adding an ArF excimer laser with a wavelength of 193 nm, hence also called 193 nm lithography. It is still used as standard today and enables the production of the smallest structures for the 45 nm technology node (“dry”) or the 28 nm planar technology and 22 nm FinFET technology using immersion lithography.

In the end, this development of steady reduction in the wavelength used ended with the unsuccessful implementation of 157 nm lithography with F 2 excimer lasers (157 nm). One reason for the abandoned implementation was the even higher development effort, i.e. the search for suitable materials and their production in the required quality. For example, calcium fluoride (CaF 2 ), the material for the last lens in the optical system, could not be produced in the required quality in 2003. F 2 excimer lasers and CaF 2 lenses were no longer necessary in 2002 with the presentation and the subsequent rapid introduction of immersion lithography at a wavelength of 193 nm. The effective wavelength - the wavelength in the medium between the last lens and the photoresist - is even below that of a dry 157 nm lithography with this configuration, because if water is used as the immersion medium ( refractive index 1.436) this is included for an ArF excimer laser 193.4 nm / 1.436 = 134.7 nm. Since the development effort for a 157 nm immersion lithography (effective wavelength 118 nm, about 12 percent less) clearly exceeds the possible advantages, the 193 nm immersion lithography is probably the end point in the development of "optical lithography".

In addition to this development in exposure technology (including improvement of the numerical aperture ), numerous other techniques for improving the process window by reducing the k 1 value were developed and introduced. In addition to the above-mentioned improvements in photoresists, these are primarily so-called resolution enhancement techniques (RETs). These include techniques for optimizing the exposure, such as oblique lighting ( off-axis illumination , OAI), exposure with polarized light and source-mask optimization (SMO), and improvements to the photomask, such as those mentioned Phase masks (PSM), optical proximity correction (OPC, German optical short-range correction ) and other auxiliary structures ( subresolution assist features , SRAFs), which are primarily intended to compensate for diffraction effects.

Next generation lithography

Despite all the improvements, the industry is still faced with the fact that the current technologies in the manufacture of top-quality products will soon reach their practical limits. Thanks to multiple structuring for 193 nm immersion lithography, this is probably not yet the case with 22 nm planar technology, but at the latest products in 11 nm or 10 nm technology will need new lithography processes. Since this point in time has been shifting to smaller structure sizes and the basic problem has long been known, since the 1990s there has been a search for alternative lithography processes that can succeed optical photolithography. These processes are often summarized under the designation next-generation lithography (German: next-generation lithography ). The procedures can be roughly divided into three groups:

  1. Process based on electromagnetic radiation with an even shorter wavelength, for example EUV and X-ray lithography . However, they require completely different optical systems and materials than the photolithography processes currently used in the industry.
  2. Method based on other particle radiation , e.g. electron and ion beam lithography
  3. alternative processes such as nano-embossed lithography or step-and-flash-imprint-lithography

See also

literature

  • Andreas Gombert: Micro-optics on a grand scale . In: Physics Journal . tape 1 , no. 9 , 2002, p. 37–42 ( PDF - including using gray-tone lithography).

Web links

Individual evidence

  1. Harry J. Levinson: Principles of Lithography . 3. Edition. SPIE Press, 2011, ISBN 978-0-8194-8324-9 , pp. 51 .
  2. cf. Prosenjit Rai-Choudhury (Ed.): Handbook of microlithography, micromachining, and microfabrication . Institution of Engineering and Technology, London 1997, ISBN 0-85296-906-6 , pp. 259 .
  3. a b c d e Daniel P. Sanders: Advances in Patterning Materials for 193 nm Immersion Lithography . In: Chemical Reviews . tape 110 , no. 1 , 2010, p. 321-360 , doi : 10.1021 / cr900244n .
  4. IBM research shows way to extend the use of current chip manufacturing processes . IBM press release, February 20, 2006.
  5. Intel successfully completes 32nm process development. (Press Area) (No longer available online.) Intel, December 10, 2008, archived from the original on January 10, 2010 ; Retrieved December 11, 2008 .
  6. Small structures with a big impact. (No longer available online.) Fraunhofer-Gesellschaft, archived from the original on January 1, 2016 ; accessed in 1998 . Info: The archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. @1@ 2Template: Webachiv / IABot / www.archiv.fraunhofer.de
  7. Gray-tone lithography with photoresists. (PDF; 219 kB) Microchemicals, accessed on November 11, 2009 .
  8. Thomas J. Suleski, Donald C. O'Shea: gray-scale masks for diffractive-optics fabrication: I. Commercial slide imagers . In: Applied Optics . tape 34 , no. 32 , 1995, pp. 7507-7517 , doi : 10.1364 / AO.34.007507 .
  9. ^ Donald C. O'Shea, Willie S. Rockward: Gray-scale masks for diffractive-optics fabrication: II. Spatially filtered halftone screens . In: Applied Optics . tape 34 , no. 32 , 1995, pp. 7518-7526 , doi : 10.1364 / AO.34.007518 .
  10. ^ Walter Domen: The lithography: history, art, technology. Dumont paperback books, Cologne 1982, ISBN 3-7701-1431-0 , pp. 238-240.
  11. 1955 - Photolithography Techniques Are Used to Make Silicon Devices - The Silicon Engine. In: Computer History Museum - computerhistory.org. August 15, 1957, accessed February 1, 2016 .
  12. a b c d e Roger H. French, Hoang V. Tran: Immersion Lithography: Photomask and Wafer-Level Materials . In: Annual Review of Materials Research . tape 39 , no. 1 , 2009, p. 93-126 , doi : 10.1146 / annurev-matsci-082908-145350 .
  13. Harry J. Levinson: Principles of Lithography . 3. Edition. SPIE Press, 2011, ISBN 978-0-8194-8324-9 .