EUV lithography

from Wikipedia, the free encyclopedia

EUV lithography (EUVL for short) is a photolithography process, the electromagnetic radiation with a wavelength of 13.5  nm (91.82  eV ) uses so-called extreme ultraviolet radiation ( English extreme ultraviolet , EUV). This should make it possible in future the structural miniaturization in the semiconductor industry continue to make smaller, more efficient, faster and cheaper integrated circuits to manufacture.

Background and major issues

EUV lithography can be viewed as a continuation of optical lithography with smaller wavelengths. The technological leap from the 193 nm exposure systems used around 2008 to 13.5 nm requires the solution of a whole series of technical problems. In February 2008, IBM and AMD presented the first complete exposure of a wafer with EUVL with a real chip in 45 nm technology . Technical details were not published.

Reducing the wavelength brings with it a multitude of challenges and technological changes that are far more complex than the previous reductions in wavelength, for example from 248 nm ( KrF excimer laser) to 193 nm ( ArF excimer laser). In addition to problems that occur with every reduction in wavelength, such as the provision of high-quality and stable radiation sources with sufficient radiation power or a photoresist system that meets the high requirements for resolution and etching resistance, the following new challenges arise in EUV lithography:

  • The high absorption means that no refractive optics, e.g. B. lenses, can be used, instead mirror optics must be used. The masks must also have highly reflective (around 70%) surfaces and thus differ from conventional exposure masks.
  • Lasers are no longer available as light sources, so plasma sources must be used which introduce residue into the system and have poorer properties than lasers.
  • Due to the Rayleigh scattering , the EUV technology places much higher demands on the shape accuracy of the masks, mirrors and the materials to be exposed in terms of surface roughness (0.25 nm).
  • With its very short wavelength of 13.5 nm, the EUV radiation is already strongly absorbed by air and by most materials. The mean absorption length in air is less than one millimeter, so the use of a vacuum is unavoidable.

EUV lithography systems

In simplified terms, an EUV lithography system consists of the following elements:

  • Radiation source with protection against residues and collector
  • imaging optics and mask
  • Wafer with photoresist

Radiation source

EUV radiation is released when plasmas are generated . Such plasmas are generated in gases by strong electrical discharges ( English gas discharge produced plasma , GDPP) or by focusing laser radiation ( English laser-produced plasma , LPP, LPP radiation source ). Depending on the type of medium, part of the emitted radiation spectrum lies in the desired range of 2% bandwidth of the central wavelength 13.5 nm. Xenon was initially used as the medium, but tin (cf.) was able to prevail because of its higher conversion efficiency . This technology has been continuously developed by the three most important companies in this field ( Xtreme Technologies (Ushio Lighting) , Cymer (today part of ASML and the system currently used) and Gigaphoton ).

The light dose that falls on the photoresist has a direct influence on the process duration and thus the wafer throughput. According to the literature, a radiation power of approx. 100 W in the range of 13.5 nm was required for the first generation of EUV lithography systems in order to achieve a sufficiently high and reasonably economical throughput of approx. 60 wafers per hour for 28 nm products and below to guarantee. In 2016 ASML presented a laboratory system with a radiation power of 210 W.

In current devices, 50,000 tin drops are initially produced per second. These drops with a diameter of 25 micrometers fall into a vacuum chamber, in which their position is measured using a high-speed camera. Each drop is then first hit by a laser prepulse, which turns it into a pancake-like shape, and then in the following step is converted into plasma with the main pulse laser, which emits EUV light with a wavelength of 13.5 nanometers.

Protection against residues

The plasma generated in the radiation source consists of ions and electrons that move at great speed. To prevent these plasma particles from causing damage to the optics used, they are intercepted (foil traps, buffer gas) or cleaning processes (chemical processes or thermal processes) are used for the optics concerned.

Nevertheless, the mirror surfaces are constantly soiled, which makes cleaning necessary every 100 hours. These short intervals compared to 193 nm lithography increase the maintenance effort and consequently reduce throughput.

collector

The plasma in the source emits radiation in all spatial directions. So that this radiation can be used for an exposure process, as large a part of it as possible must be reflected by a collecting optics (collector) in the direction of the actual lithography system. For sources based on the GDPP principle ( gas-discharge produced plasma ), adapted Wolter telescopes are used in which the radiation is reflected at a grazing angle of incidence. For LPP sources ( laser-produced plasma ), multilayer mirrors made of molybdenum and silicon are used with an almost perpendicular angle of incidence.

An intermediate focus (IF) is defined as the optical interface to the lithography system , at which at least 100 W EUV radiation (2 percent spectral bandwidth) must be made available.

Imaging optics and mask

A complex optical system of six or more mirrors prepares the radiation for the actual exposure process. For EUV radiation, so-called multi-layer mirrors are (engl. Multilayer mirror ) is used, of from a large number (e.g., 50 or 100.) Molybdenum / silicon - layer pairs exist. The possibility of producing such Mo-Si mirrors and their comparatively high degree of reflection are one of the reasons for choosing the wavelength of 13.5 nm. Aging of the mirrors due to diffusion of the atoms from one layer into another can be caused by interlaying some atomic layers Thickness can be bent forward. The surface of the multi-layer mirror is protected by a protective layer ( capping layer ). The flatness requirements - approx. 2 nm for a mirror with a 30 cm diameter - of the mirror substrates and the quality of the layers are enormous and represent technological challenges. The theoretically achievable degree of reflection of these mirrors is around 72 percent in a six-mirror System, more than 86 percent of the radiation is lost from these mirrors. Therefore, on the one hand, sufficiently strong radiation sources must be available - sources with 100 W radiation power at 13.5 nm are probably required in the first generation of EUV systems - and on the other hand only a very limited number of optical elements can be used. Due to the high radiation output and high absorption, the system also has to be cooled more than conventional systems - despite the requirements for constant temperature.

EUV radiation is mainly absorbed at the inner orbitals of the atoms. The relatively high absorption of oxygen, argon or nitrogen atoms also means that the radiation is noticeably weakened in the optical system. Therefore, the entire optical system, from the source to the wafer, must be in at least a weak vacuum. This further increases the technical effort compared to the 193 nm lithography system.

Since no transparent media are available for EUV radiation, the lithography mask is also designed as a multi-layer mirror with an image of the structures to be produced on its surface. For this purpose, an absorbing layer made of chromium or tantalum nitride is structured on the mask surface using a dry etching process. A particular difficulty lies in the defect-free design of the mask. Structuring errors in the absorber layer as well as defects in the multilayer underneath can lead to imaging errors. Critical defect sizes are well below 30 nm. If a particle underneath the multilayer changes the flatness of the layers, a phase defect can arise. If, on the other hand, there is a particle in the upper area of ​​the multilayer, the absorption of the particle creates an amplitude defect. Defects in the multilayer can often only be detected under EUV radiation, which makes the mask inspection very expensive. The absence of defects in the multilayer of the mask is one of the greatest technological challenges in EUV lithography.

Due to the oblique incidence of the EUV radiation (typically 5 ° with respect to the surface normal), unevenness in the mask surface causes a lateral offset of the mask image, which leads to positional errors of the imaging structure on the wafer surface. The masks must therefore have a flatness of less than 50 nm, which makes the production of the mask substrates very complex and expensive.

Wafer and photoresist

The radiation is reflected from the mask onto the wafer coated with a suitable photoresist (resist) . The chemical and photochemical properties of the photoresist essentially determine the quality of the structures that can be produced. What is desired is a high sensitivity to EUV radiation, a high resolution and a low edge roughness, the 3σ deviation of the predicted line edge , e.g. B. 1.5 nm for the 45 nm technology node. The main challenge is to realize these properties at the same time with a photoresist. After exposure, the photoresist is developed in a process chain in order to finally obtain the desired structures.

In (conventional) photolithography, long-chain organic polymers are typically used as photoresists. The radiation releases a proton in the so-called photo-acid generator (PAG), which reacts with organic protective groups in the polymer side chains. This increases the solubility of the exposed polymer, so that the exposed areas can be removed by an organic solvent (developer). In this case it is a so-called positive resist, as the exposed structures are removed. Alternatively, negative resists can be used in which the radiation-induced cross-linking of the polymer chains reduces the solubility of the exposed areas. However, these resists typically achieve a lower resolution than the positive resists.

Due to the high energy of the EUV photons, only a few photons contribute to the exposure per area. Too high a sensitivity of the lacquers therefore leads to an increase in the edge roughness due to statistical shot noise effects. With the required edge roughness in the range of one nanometer, the mean lengths of the polymer chains are also already reached, so that the molecular structure of the paint is a limiting factor for the edge roughness. For this reason, short-chain polymers are also being investigated as EUV lacquers. However, this can lead to increased outgassing in a vacuum. As a result, there is a risk that the mirror optics will be contaminated by a carbon layer and the transmission of the optics will be significantly reduced.

The absorption of radiation is generally an important issue in EUV lithography. Responsible for this are not only the relatively low radiation power of today's EUV sources and the small absorption cross section, which generally decreases with the wavelength, but also the fact that the absorption in this wavelength range mainly takes place at the inner orbitals of the atoms. The absorption therefore depends primarily on the elemental and not the molecular composition of the photoresists. Oxygen and fluorine have one of the highest absorption coefficients for EUV radiation. The development of EUV photoresists is therefore relatively complicated, since existing chemically amplified high-resolution photoresists are not really suitable. The relatively high absorption of oxygen, argon or nitrogen atoms also means that the radiation is already noticeably weakened in the optical system and a vacuum must therefore be used (see also the section on imaging optics and mask ). If the photoresist has a high EUV absorption, the resist layers must be thinner than approx. 100 nm. This requirement represents a major challenge for structuring the wafer, since the thickness of the lacquer layer is also reduced during the dry etching of the wafer. The etch resistance of the developed lacquer therefore also plays an important role. Depending on the application, multi-layer photoresists may therefore have to be used for structuring.

literature

Web links

Individual evidence

  1. AMD Testing Functional Devices Made Using Extreme Ultra-Violet (EUV) Lithography on Test Chip . AMD press release, February 26, 2008.
  2. a b c d Daniel Bratton, Da Yang, Junyan Dai, Christopher K. Ober: Recent progress in high resolution lithography . In: Polymers for Advanced Technologies . tape 17 , no. 2 , January 1, 2006, p. 94-103 , doi : 10.1002 / pat.662 .
  3. a b c d e f g h Christian Wagner, Noreen Harned: EUV lithography: Lithography gets extreme . In: Nat Photon . tape 4 , no. 1 , 2010, p. 24-26 , doi : 10.1038 / nphoton.2009.251 .
  4. Why EUV Is So Difficult . Semiconductor Engineering, November 17, 2016.
  5. F. Letzkus, J. Butschke, M. Irmscher, FM Kamm, C. Koepernik, J. Mathuni, J. Rau and G. Ruhl .: Dry etch processes for the fabrication of EUV masks. In: Microelectronic Engineering. Vol. 73-74, 2004, pp. 282-288, doi: 10.1016 / j.mee.2004.02.054 .
  6. F. Sobela: Absorber stack optimization towards EUV lithography mask blank pilot production. In: 24th Annual BACUS Symposium on Photomask Technology, Proc. SPIE. 5567, p. 781, doi: 10.1117 / 12.568787 ( PDF , accessed on July 12, 2010).
  7. Ted Liang, et al .: Growth and Printability of Multilayer Phase Defects on EUV Mask Blanks ( Memento of the original from December 27, 2015 in the Internet Archive ) Info: The @1@ 2Template: Webachiv / IABot / www.sematech.org archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. . In: 2007 EUV Symposium, Sapporo, Japan. Accessed on July 13, 2010 (presentation slides).
  8. SEMATECH and Carl Zeiss to Develop First-Ever EUV Aerial Imaging Tool ( Memento of the original from July 17, 2010 in the Internet Archive ) Info: The @1@ 2Template: Webachiv / IABot / www.sematech.org archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. . July 8, 2010. Retrieved July 13, 2010.
  9. Phil Seidel, Chris Van Peski, Stefan Wurm: EUV Substrate, Blank, and Mask Flatness Current Specifications & Issues Overview ( Memento of the original from December 30, 2015 in the Internet Archive ) Info: The @1@ 2Template: Webachiv / IABot / www.sematech.org archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. . In: EUV Mask Flatness & Carrier / Loadport Workshop October 19th 2006, Barcelona Spain. Accessed on July 13, 2010 (presentation slides).
  10. ^ A b Robert L. Brainard: Photoresists for Extreme Ultraviolet Lithography. In: Vivek Bakshi (Ed.): EUV Lithography , SPIE Press, Bellingham, WA, 2008, ISBN 978-0-8194-8070-5 .
  11. ^ AR Neureuther et al .: Shot noise models for sequential processes and the role of lateral mixing. In: Journal of Vacuum Science and Technology B. 24, No. 4.2006, pp. 1902-1908.
  12. Daiju Shiono et al .: LER evaluation of molecular resist for EUV lithography. In: Microelectronic Engineering. 84, No. 5-8, 2007, pp. 1084-1087.