Immersion lithography

from Wikipedia, the free encyclopedia
In immersion lithography, the ultraviolet radiation passes through a system of lenses (1.) and a thin liquid film (2.; here water) before it reaches the photoresist on the top of the wafer (3.).

The immersion lithography is a technique in the production process of microelectronics for sharper image in the photolithographic patterning . The technology uses the same principle as immersion microscopy , but it does not serve to observe an object, but rather the reduced projection of a structure on a photomask into a photoresist layer , cf. Photolithography (semiconductor technology) . It is an improvement of the projection exposure , in which the air in the gap between the last lens and the wafer surface is replaced by an immersion liquid (transparent liquid with the highest possible refractive index ). This allows compared to similar design systems without immersion medium the production of smaller structures, since a larger numerical aperture (NA) and focal depth (Engl. Depth of focus DOF) can be achieved.

The introduction of immersion lithography made it possible to continue to use existing lithography systems (combination of lens system , photomasks, photoresists, etc.) based on ArF excimer lasers - also called 193 nm lithography after their wavelength - and still produce smaller structures. This meant that the introduction of cost-intensive alternatives that were not yet suitable for industrial mass production, such as EUV or electron beam lithography , could be postponed for the time being. According to current knowledge, it is the last in the evolution of lithography systems to be based on refraction .

Currently (as of 2012) immersion lithography is the only technology that allows integrated circuits with structure sizes of 28 nm and below to be manufactured in industrial mass production and thus represents a key technology for the manufacture of today's top microelectronic products such as main processors of computers , system on-a-chip of smartphones etc.

history

The improvement of the resolution of optical systems through the use of immersion liquids has been known for a long time from the field of microscopy , cf. Immersion (microscopy) . The higher refractive index ( n  >  n air  ≈ 1) compared to air causes an enlargement of the numerical aperture of optical systems and thus a greater depth of image as well as an improvement in the resolution. The use of immersion techniques in photolithography was first considered at the end of the 1980s and shown on an immersion microscope, demonstrated for modern paint systems in the late 1990s and its technical implementation has been pursued more closely since the early 2000s. The starting point was the knowledge that conventional “dry” lithography systems, that is, conventional systems without an immersion medium, will no longer be able to provide the required resolutions in the foreseeable future. In this context, immersion lithography was a promising candidate for continuing the scaling of microelectronic circuits.

The first demonstration tests with immersion lithography were presented in the following years (2003/2004) for HeCd , Nd: YAG and ArF laser systems (corresponding to the wavelengths 442 nm, 213 nm and 193 nm, respectively). At that time, “dry” ArF scanners with a wavelength of 193 nm (193 nm lithography) were already being used in industrial production and the development of immersion ArF scanners (also known as 193i lithography) made rapid progress. The relatively minor technical adjustments that were necessary to convert existing “dry” systems into immersion systems contributed to this. Although this required a partially redesign of the corresponding systems, the effort was significantly lower than the introduction of fluorine excimer laser systems (157 nm wavelength) or alternative processes such as EUV , X-ray or electron beam lithography , as the previously used photoresists and laser optics are no longer used can be used. In 2004 the first 193i scanner (ASML AT1150i, NA = 0.75) suitable for mass production was delivered to the Albany NanoTech. The use of immersion scanners, already with the NA of 1.3–1.35 practically achievable for water, began with the introduction of the 55 nm technology node by several manufacturers of flash memories . The costs for industrial immersion lithography systems are highly dependent on the manufacturer; in 2010 they were around USD 30 million for Nikon's NSR-S620D systems and USD 55 million for ASML's TwinScan-NXT: 1950i systems.

Alternative: 157 nm photolithography

For a long time, the conversion of photolithography to systems with ever shorter wavelengths was an essential factor in improving image resolution. Therefore, before the introduction of 193 nm immersion lithography, research was also carried out into "dry" systems with a wavelength shorter than the ArF excimer lasers already in use (wavelengths 193 nm). A candidate for this was the F 2 excimer laser with a wavelength of 157.67 nm. With this system, higher resolutions could have been possible even without the high-refractive index materials required in an immersion system. The conversion of 157 nm lithography, which until the 2003s was still seen as a real candidate for the replacement / successor of the dry 193 nm systems at the time, turned out to be more difficult than expected. In contrast, 193 nm immersion lithography made decisive progress. With the decision of Intel (then and now one of the technology drivers in semiconductor technology) and other semiconductor and system manufacturers for 193 nm immersion lithography with ultrapure water and against exposure at 157 nm, the development of 157 nm photolithography was significantly slowed down and With the ITRS edition in 2005 at the latest , this technology was no longer considered an alternative or successor to 193 nm (immersion) photolithography.

One of the main challenges in developing the technology of 157 nm photolithography was the search for new photoresists and lens materials. The search for new photoresists suitable for 157 nm technology was hindered primarily by the absorption behavior of the organic molecules typically used. Phenol and carboxylic acid groups absorb ultraviolet with a wavelength of 157 nm very well, so that the radiation cannot penetrate deep enough into the photoresist layer. The problem of too high absorption also exists with (high-refractive index) materials for lenses and immersion liquids. The wavelength of the 157 nm UV radiation is at the edge of the transmission window of the special quartz glass typically used (Suprasil 3301/3302). Among other things, this results in increased heating during operation, which in turn has a negative effect on the optical system and the imaging accuracy. CaF 2 crystals show sufficient transmission at 157 nm . However, the complex production and processing of large-format crystals leads to low yields and comparatively high costs. In the case of high-index immersion liquids, the increasing absorption coefficient has an even greater effect. For example, for the often preferred perfluoropolyethers (PFPE) - PFPEs are sufficiently chemically inert, non-toxic, non-corrosive and largely suitable for clean rooms - the absorption coefficient increases from 0.1–0.6 cm −1 at 193 nm to 6–19 cm −1 at 157 nm. However, a few PFPEs offer a sufficiently low absorption coefficient of less than 1 cm −1 at 157 nm. However, the absorption coefficient of these PFPEs increases significantly after higher radiation doses, i.e. they are not sufficiently resistant to laser radiation. It has therefore been the case so far that no suitable materials have been available for industrial use.

Background and functionality

The use of an immersion medium allows a higher numerical aperture (NA) and thus an improvement in the resolution. With water, an angle of incidence of 55 ° can be achieved at the lens, lens and water interface. When using air, the practically achievable limit is already around 35 °

In order to understand how an immersion lithography system works, a few basic principles are necessary to determine the resolution of an optical system. The minimum achievable resolution of an optical system can be described by the following equation:

with the resolution coefficient (a process constant), the vacuum wavelength of the laser light used for exposure , the refractive index of the incident medium and the opening angle of the lens . The product is also known as the numerical aperture (NA) of the imaging lens.

The coefficient depends on the exposure process used and is determined by the exposure conditions, the mask technology and the properties of the photoresist. Thanks to numerous improvements (paint chemistry, etc.) in the last few decades, this value has been reduced from approx. 0.8 to 0.38, thereby improving the resolution of the photolithography systems. In addition, the minimum resolution were in the past by reducing the exposure wavelength (h from the emission lines and i a mercury vapor lamp on KrF - excimer laser nm to ArF excimer lasers, ie from 434, 365 nm, 248 nm to nm towards 193), the improvement of the photoresist properties or the use of new exposure techniques, e.g. B. oblique exposure achieved. This trend could no longer be continued after the introduction of the ArF excimer laser, since a further reduction in the wavelength (F 2 excimer laser, 157 nm) would reach new limits. The main obstacle is that in this spectral range many of the materials previously used (lenses, photoresists, photomask , pellicles , etc.) are no longer sufficiently transparent. The development of 157 nm photolithography therefore requires the search and introduction of new materials with sufficiently good properties. However, this search and the relatively extensive changes to the existing system concepts are time-consuming and therefore cost-intensive. For this reason, alternative methods, e.g. B. electron beam lithography , as well as other "technical tricks" are sought with which a better resolution can be achieved on an industrial scale.

One of these "technical tricks" is changing the numerical aperture of the system - others are, for example, multiple structuring - because the ability of an optical system to image structures is indirectly proportional to the numerical aperture of the imaging devices (see formula above). The NA for “dry” photolithography systems is a maximum of 1 - the theoretical limit value due to the refractive index of air, real peak values ​​are approx. 0.95. Since it is impossible to further increase the resolution through stronger refraction (at the lens), an increase in the NA can only be achieved by exchanging the coupling medium, the air ( n air  ≈ 1), between the last lens (currently mostly made of quartz ) and the wafer coated with photoresist can be achieved by an immersion medium with a higher refractive index. This change has a very effective effect on the resolution, since the wavelength of the laser (in a vacuum 193 nm) in water is approx. 134 nm.

Schematic representation of the "beam cone" with the same imaging depth (same angle of incidence in the photoresist). It can be seen that a smaller angle of incidence from the immersion medium is necessary for the same imaging depth.

Another important parameter in photolithography is the depth of field (Engl. Depth of focus DOF). It identifies the area (in the direction of the optical axis of the exposure system) in which the focus is sufficient for a sharp image. Since successful exposure should take place over the entire thickness of the photoresist layer, the imaging depth must therefore be sufficiently large for the photoresist layer thickness and unevenness of the wafer surface. Otherwise, imaging problems arise, for example that the developed structures are significantly smaller above or below the image plane and thus a kind of “overhang” or an incorrectly opened mask would result.

Similar to the resolution, the imaging depth also depends on the wavelength used, the numerical aperture used and the medium between the lens and the photoresist. For a projection system, the image depth can generally be calculated by (see also):

For small angles this can be simplified to:

It can be seen that, with the same wavelength and the same medium, the imaging depth decreases with increasing numerical aperture. This was a necessary compromise with previous adjustments to the lithography systems via the wavelength used. In physical terms, this effect can be explained in a simplified way that with increasing NA, the components of the spatial frequency in the z-direction quickly come out of phase and thus smear when one moves away from the focal plane.

In summary, it can be said that the use of an immersion medium significantly improves the imaging properties compared to a similar dry system. Even with ultrapure water (refractive index of 1.47 at a wavelength of 193 nm) as an immersion medium, an improvement in resolution of approx. 30-40% (depending on the materials used) can be achieved. In comparison, a switch from ArF to F 2 excimer lasers would allow an improvement of just under 19%. In addition to the resolution, the imaging depth or tolerance in the evenness of the wafer topography is improved by approximately a factor of 2 (for systems with the same NA). Nevertheless, the optical imaging of the structures on a photomask in a photoresist layer is always a compromise between resolution and imaging depth, so that both advantages of immersion lithography can never be used at the same time.

technical structure

Schematic structure of an immersion lithography system with local wetting by water as the immersion medium between the last lens and the wafer. The position of the water under the lens is held by a continuous flow and an air curtain at the edge.

The technical structure of modern immersion lithography systems essentially corresponds to that of dry photolithography systems based on ArF excimer lasers (193 nm). This includes the laser source, a condenser lens system, mask holder, focusing optics and the sample table on which the wafer is placed. In contrast, however, an immersion liquid must be held between the wafer and the last lens of the lens system. There are two basic approaches to this:

  • the wetting of the entire wafer ( stage immersion , i.e. the immersion of the wafer in the liquid, or wafer immersion )
  • local wetting with the immersion liquid ( local delivery ).

Today's systems only use local wetting, as they can work significantly faster due to shorter wetting and removal times. For this purpose, a water reservoir is usually built in the vicinity of the lens. The associated adapter (often referred to as showerhead in English ), which hovers only a few micrometers above the wafer, keeps this reservoir stable during exposure and enables both quick wetting and quick removal of the liquid. So that the fluid can not flow laterally or remains with the wafer movement on the wafer at the edge of the adapter is a fine air curtain (engl. Air curtain ) constructed.

Change in the wetting behavior of the immersion liquid with increasing relative speed between sample and nozzle. You can see the formation of different wetting angles in and against the direction of movement.

For the stability of the liquid reservoir, the scanning speed (relative speed of the wafer / lens) and the dynamic contact angles (wetting and dewetting angles, also called advancing and receding contact angles) are important. Among other things, they influence the possible loss of liquid due to the removal of the liquid film or instabilities during wetting. Loss of liquid due to a droplet being pulled away is loss due to a thin film of liquid behind the immersion adapter. They are often observed at low speeds and systems with a very low dewetting angle, i.e. in the case of water on hydrophilic surfaces. In the other case, fluid loss occurs when the surface tension is insufficient to overcome the inertial forces (due to the receding meniscus). These errors mainly occur at high speeds and at higher wetting angles, in the case of water on more hydrophobic surfaces. For typical scan speeds of 500 mm / s - for up to 150 wafers per hour and system - the dewetting angle should be in the range of 70 ° and higher. Typical 193 nm photoresists developed for dry lithography have dewetting angles of 43–53 °. For these and other reasons, e.g. B. Protection of the photoresist layer from chemical attack (see below), either other photoresists or more hydrophobic protective layers are used on the top of the photoresist.

Immersion medium

Ultrapure water ( n water, 193 nm  ≈ 1.47) has been the main immersion liquid used since research began. It is also the only immersion medium to date that is used in industrial production. In addition to water (1st generation), other liquids with a higher refractive index (1.65–1.8) are currently being researched. These second generation immersion liquids include homogeneous organic liquids, for example perfluoropolyethers (PFPE) or cycloalkanes . A refractive index in the range around 1.65 would correspond to a further increase in the resolving power compared to water of approx. 14%. Similar to the lens materials, a low temperature dependence of the refractive index (also known as the thermo-optical coefficient d n / d T ) and a low absorption coefficient (α <0.15 cm −1 ) in the UV range are important for immersion liquids . Otherwise there will be differences in intensity between the beam components depending on the distance traveled in the immersion medium as well as major changes in the focus during prolonged operation due to temperature changes and the associated changes in refractive index. In addition, absorptions by the immersion medium can also lead to radiation damage (decomposition, chemical reactions with the photoresist up to the formation of bubbles). These effects have a negative effect on the overall process and can only be corrected within certain limits. Further important properties are therefore also a high thermal capacity and good thermal conductivity . However, many organic liquids have high absorption coefficients at a wavelength of 193 and 157 nm due to unbound electrons or electrons in π molecular orbitals . The search for suitable materials is therefore difficult.

In order to achieve even higher refractive indices and thus to catch up with possible materials for high refractive lenses such as lutetium aluminum garnet (LuAG, refractive index 2.14 at 193 nm), other solutions must be found, because there are no homogeneous liquids with refractive indices above 1, 8 known. Therefore, research is currently being carried out into the third generation of immersion liquids, which are suspensions of nanoparticles (e.g. 5 nm spherical particles made of LuAG or zirconium (IV) oxide ) of high-index materials in organic liquids. Overall, the gain in terms of increasing the numerical aperture through high-index immersion liquids (2nd and 3rd generation) is relatively small, and research had already fallen behind the actual industry schedule in 2008. Their industrial use is therefore uncertain.

Problems when used in mass production

In general, a new technology in semiconductor technology is only used in production when the defect density has reached a level that is comparable to similar technologies, in this case “dry” lithography. Therefore included defects and other possible sources for a reduced yield ( english yield ) of microchips on a wafer as the biggest obstacles to the use of immersion lithography in mass production. Early studies focused on the elimination of bubbles, temperature and pressure fluctuations in the immersion liquid, and the absorption of the liquid by the photoresist. Immanent defects in immersion lithography and particle generation from the water dispensing unit (a common source of defects) were identified.

Furthermore, it has been shown that water both parts of the photoinitiator - a photoactive substance that forms a photoacid upon exposure ( photoacid generators , PAGs) - and the photoacid itself - usually a carboxylic acid that reduces the development rate by 1 increased to 2 orders of magnitude - extracted from the photoresist. This transfer of the two substances from the photoresist to the immersion medium water must be prevented because, on the one hand, damage to the objective lens by the acid or contamination must be avoided and, on the other hand, the outdiffusion has a negative impact on the development of the photoresist.

When exposed to UV radiation at 193 nm, water is ionized. During this ionization, solvated electrons are generated , which spread through the photoresist, react with it and thus negatively influence the resolution.

The above-mentioned concerns regarding possible defects have led to considerations about the use of a cover layer directly on the photoresist. This cover layer prevents the diffusion of water and PAG between the liquid medium and the photoresist. In addition, the interface between the liquid and the top layer has been optimized in terms of reducing watermarks. When using a cover layer, a possible generation of defects by the cover layer must of course be excluded or at least prevented.

At scan speeds of modern scanners in high volume production of around 500 mm / s, the actual photoresist-water contact time in the exposure area is minimal. This results in the following main problems: on the one hand, defects due to water residues (formation of watermarks) and, on the other hand, a loss of photoresist-water adhesion (formation of an air gap). The hydrophobicity of the surface and the type of water supply or drainage are the most important aspects that have to be considered in the technical implementation. Another area in which defects can increasingly occur is the edge of the wafer, where the water makes a “U-turn” (return) and can pick up defects (particles) from the edge of the wafer and the back of the wafer.

Current and future use

Polarization effects in immersion lithography. For distances at which immersion lithography is relevant, the polarization of the light used influences the intensity within the photoresist. This example is for a half pitch of 56 nm.

ASML (with optics from Carl Zeiss SMT GmbH ), Nikon and Canon are currently the only commercial providers of immersion lithography systems for production. Since 2007, the systems have been used by larger semiconductor companies in the manufacture of products 45 nm technology and below, such as IBM , Globalfoundries (the former manufacturing division of AMD), UMC , Toshiba and Texas Instruments . Corresponding products are for example at AMD Athlon II and Fusion . Intel , on the other hand, only began using immersion lithography for its products in 32 nm technology in 2009, for example Intel Core i7 ( Westmere ). The manufacture of 22 nm products and below is also very likely to be done using immersion lithography for the critical levels in the middle-of-line . However, this depends on how quickly alternative processes such as EUV or electron beam lithography develop in terms of their industrial applicability or whether they are available at all.

We are currently investigating which improvements are necessary so that the technology can also be used after the 32 nm node. This includes the use of materials with a higher refractive index than the currently used materials. This concerns on the one hand the last lens (objective lens), in which the use of lutetium-aluminum-garnet (LuAG, refractive index of 2.14 at 193 nm) is being considered instead of silicon dioxide , and on the other hand the immersion liquid (replacement of water) as well the photoresist itself. The reason for this is that the lowest refractive index of these three components largely determines the numerical aperture of the imaging system. With higher refractive index materials, a higher numerical aperture and thus a better resolution of small structures can be achieved. These new developments allow an optical resolution of approximately 30 nm. It is to be expected, however, that current photoresists hinder further scaling below 40 nm. Further problems are polarization effects , birefringence and temperature-dependent dispersion in the optical system.

On the other hand allow techniques such as compliance with layout rules for better manufacturability (Engl. Layout regularity or design for manufacturability , shortly DFM) and double exposure - or double patterning method (double patterning) a reduction of the half-pitch Resolution by a factor of 2. This means that immersion lithography could also be used beyond 32 nm technology, possibly up to the 16 nm technology node. While the double patterning process improves the pitch resolution, it is up to non-lithographic methods to actually achieve a reduction in the structure size. With such small structure sizes (below 32 nm), on the other hand, the use of optical proximity correction (OPC ) methods becomes problematic . Because for more additional structures to improve the picture quality, such as hammerheads (dt., Hammer heads '), is simply not enough space on the photomask.

literature

  • Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography . SPIE Press, 2009, ISBN 978-0-8194-7557-2 .
  • Burn J. Lin: Optical Lithography: Here Is Why . SPIE Press, 2009, ISBN 978-0-8194-7560-2 .

Web links

Individual evidence

  1. ^ Burn J. Lin: The future of sub-half-micrometer optical lithography . In: Microelectronic Engineering . tape 6 , no. 1-4 , 1987, pp. 31-51 , doi : 10.1016 / 0167-9317 (87) 90015-3 .
  2. a b Burn J. Lin: Optical Lithography: Here Is Why . SPIE Press, 2009, ISBN 978-0-8194-7560-2 , pp. 325 .
  3. a b c d e f Daniel P. Sanders: Advances in Patterning Materials for 193 nm Immersion Lithography . In: Chemical Reviews . tape 110 , no. 1 , 2010, p. 321-360 , doi : 10.1021 / cr900244n .
  4. Yayi Wei, David Back: 193nm immersion lithography: Status and challenges. In: SPIE Newsroom. March 22, 2007, accessed on January 22, 2012 ( doi: 10.1117 / 2.1200703.0001 ).
  5. Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography . SPIE Press, 2009, ISBN 978-0-8194-7557-2 , pp. 7 .
  6. Kazuhiro Hirano, Yuichi Shibazaki, Masato Hamatani, Jun Ishikawa, Yasuhiro Iriuchijima: Latest results from the Nikon NSR-S620 double patterning immersion scanner . Taipei, Taiwan 2009, pp. 75200Z-75200Z-12 , doi : 10.1117 / 12.837037 (contains a few interesting graphics on the basic structure of such lithography systems).
  7. TwinScan NXT: 1950i. ASML, accessed September 5, 2011 (product page).
  8. Mark LaPedus: Inside Intel's litho strategy at 22-nm. EE Times , March 18, 2010, accessed September 5, 2011 .
  9. https://www.wisag.ch/quarzglas-quarzgut/heraeus-quarzglas-fuer-die-optik website of the Heraeus company
  10. Axel Engel, Konrad Knapp, Lutz Aschke, Ewald Moersen, Wolfgang Triebel: Development and investigation of high-quality CaF2 used for 157-nm microlithography . International Society for Optics and Photonics, April 26, 2001, p. 298-304 , doi : 10.1117 / 12.425218 ( spiedigitallibrary.org [accessed July 27, 2017]).
  11. a b c M. Switkes, M. Rothschild: Immersion lithography at 157 nm . In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures . tape 19 , no. 6 , 2001, p. 2353 , doi : 10.1116 / 1.1412895 .
  12. ^ Burn J. Lin: Optical Lithography: Here Is Why . SPIE Society of Photo-Optical Instrumentation Engineering, 2009, ISBN 0-8194-7560-2 , pp. 369 .
  13. ^ Burn J. Lin: Optical Lithography: Here Is Why . SPIE Press, 2009, ISBN 978-0-8194-7560-2 (Chapter 4: The Metrics of Lithography).
  14. ^ Burn J. Lin: Optical Lithography: Here Is Why . SPIE Press, 2009, ISBN 978-0-8194-7560-2 .
  15. Yayi Wei, Robert L. Brainard: Advanced Processes for 193-nm Immersion Lithography . SPIE Press, 2009, ISBN 978-0-8194-7557-2 .
  16. S. Jahromi, L. Bremer, R. Tuinier S. Liebregts: Development of Third Generation Immersion Fluids Based on Dispersion of Nanoparticles ( Memento of the original from January 23, 2015 in the Internet Archive ) Info: The @1@ 2Template: Webachiv / IABot / www.sematech.org archive link was inserted automatically and not yet checked. Please check the original and archive link according to the instructions and then remove this notice. . In: 5th international Symposium on immersion lithography extensions. 22-25 September 2009.
  17. Soichi Owa, Toshikazu Umatate: High Index Lithography (HIL) Progress and Plans ( Memento of the original from January 28, 2016 in the Internet Archive ) Info: The @1@ 2Template: Webachiv / IABot / www.sematech.org archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. . 2008 SEMATECH Litho Forum, Bolton Landing May 14, 2008.
  18. M. Switkes, RR Kunz, M. Rothschild, RF Sinta, M. Yeung, S.-Y. Baek: Extending optics to 50 nm and beyond with immersion lithography . In: Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures . tape 21 , no. 6 , 2003, p. 2794 , doi : 10.1116 / 1.1624257 .
  19. U. Okoroanyanwu, J. Kye, N. Yamamoto, K. Cummings: Defectivity in water immersion lithography . In: Microlithography World . tape 14 , no. 4 , 2005, p. 4-7 .
  20. J. Christopher Taylor, Charles R. Chambers, Ryan Deschner, Robert J. LeSuer, Willard E. Conley, Sean D. Burns, CG Willson: Implications of immersion lithography on 193-nm photoresists . Santa Clara, CA, USA 2004, p. 34-43 , doi : 10.1117 / 12.535875 .
  21. Akyhiro Iwata, Nobuaki Nakashima, Yasukazu Izawa, Chiyoe Yamanaka: One-photon ionization of liquid water upon 193 nm laser irradiation . In: Chemistry Letters . tape 22 , no. 11 , 1993, p. 1939-1940 , doi : 10.1246 / cl.1993.1939 .
  22. Lithographic Systems. Optics for 193 nm immersion lithography. Carl Zeiss SMT GmbH, accessed on January 22, 2012 .
  23. Mark Bohr: Intel 32nm Technology . In: Logic Technology Development, February 10, 2009 . ( PDF - presentation slides).
  24. Aaron Hand: DFM, Design Restrictions Enable Double Patterning. In: Semiconductor International. January 12, 2007, archived from the original on February 5, 2008 ; Retrieved January 22, 2012 .
  25. Mark LaPedus: SPIE: Intel to extend immersion to 11-nm . EETimes, February 23, 2010.
  26. Mark LaPedus: IBM sees immersion at 22nm, pushes out EUV . EETimes, February 22, 2010.
  27. ^ David Lammers: Intel: EUV Facts Don't Add Up 'for 22 nm in 2011. In: Semiconductor International. February 22, 2008, archived from the original on April 26, 2008 ; Retrieved January 22, 2012 .
  28. U. Okoroanyanwu, JH Lammers: Resist Road to the 22nm Technology Node . In: Future Fab International . tape 17 , 2004, p. 17 .
  29. Christian Wagner: Advanced technology for extending optical lithography . In: Proceedings of SPIE . Santa Clara, CA, USA 2000, p. 344-357 , doi : 10.1117 / 12.389046 .
This version was added to the list of articles worth reading on January 19, 2012 .