X-ray lithography

from Wikipedia, the free encyclopedia

The x-ray lithography ( English X-ray lithography , XRL) is a patterning method in the semiconductor and micro-system technology . The method uses x-rays to a geometric pattern from a mask to a resist - thin layer to be transferred, which on a substrate , usually a wafer , is located. This pattern can then be transferred into the underlying material by further processes or used for the selective deposition of material, cf. Photolithography .

X-ray lithography belongs to the so-called next-generation lithography process (NGL process), i.e. it is a candidate for the successor to the current photolithography based on ultraviolet radiation and is intended to enable the semiconductor industry to produce microelectronic circuits with structure sizes below 20 nm enable. It is closely related to EUV lithography , which also belongs to the NGL process.

background

For the production of microelectronic circuits and microsystems , pattern patterns are transferred from a photomask to a photo- structurable, i.e. light-sensitive, thin layer of photoresist (resist) and used there for subsequent processes. Since the early stages of microelectronics, this structuring has been done with the help of (optical) photolithography. The trend in microelectronics towards higher integration densities and thus smaller components made constant development of this structuring technique necessary. One of the most important parameters for the resolution of a photolithography system is the wavelength of the light used , because diffraction effects of the light make a sharp image in the resist difficult if the desired structure sizes are in the range of the wavelength of the light used and below. In order to be able to manufacture smaller structures, light with ever shorter wavelengths was used alongside other technical improvements, so that the visible light that was initially used has now reached wavelengths in the ultraviolet spectral range.

With the development of immersion lithography using argon fluoride - excimer lasers (wavelength: 193 nm), and further details such as oblique illumination or multiple patterning was postponed several times to ever smaller structures the expected limit of lithography and is now (2012) in an area ( 22-nm -Technology ), which years ago had been considered technically and physically impossible. In the meantime, however, all possibilities that can also be used industrially and sensibly have been almost exhausted and a follow-up process for "optical lithography" has been sought for almost two decades. These are summarized under the term next-generation lithography process. These include EUV, electron beam , ion beam and the X-ray lithography dealt with here.

Like conventional photolithography, X-ray lithography uses electromagnetic radiation to transfer the structure pattern from the mask into the resist. It could therefore, like EUV lithography, be viewed as a further development of optical lithography with a shorter wavelength. The wavelength of the soft X-rays used is approx. 1 nm, a good 200 times shorter than current “optical” systems. The behavior of the material, i.e. the interaction of X-rays with matter, differs significantly in the X-ray range from that in the optical and near-ultraviolet range. For this reason, X-ray lithography not only requires different radiation sources and modified photosensitive lacquers, it also requires fundamentally different imaging principles, different masking technology and further developments in which the knowledge from optical lithography can hardly be applied.

Such a system was shown for the first time in the early 1970s.

Working principle

Functional principle of photo and X-ray lithography

The basic principle of X-ray lithography corresponds to that of conventional photolithography based on visible light or UV light, i.e. a resist mask with a pattern of transparent and opaque areas is illuminated with X-rays and the pattern is projected onto a thin layer of a resist. The illuminated areas in the resist are chemically changed and, in the case of a positive photoresist, can be dissolved in a subsequent development step . What remains is a resist mask on the substrate that can be used as a masking layer for subsequent processes.

In contrast to optical lithography, the chemical reactions in X-ray lithography are not triggered directly by incident photons, but rather by generated electrons that interact with the resist material. When X-rays hit a material, photoelectrons with a certain kinetic energy, the photoelectric effect, are generated by the complete absorption of the photon by an electron on an inner atomic orbital - other mechanisms such as Compton effect or Thomson scattering can be neglected. Then the excited atom relaxes, that is, the unoccupied orbital position created is occupied by an electron from a higher orbital. This also creates an Auger electron or fluorescence photon . The resulting photo and Auger electrons interact with electron-sensitive substances (e.g. a photo acid generator, PAG) and thus lead to a change in the chemical properties (usually the solubility) of the resist in the exposed areas via further intermediate steps.

Radiation sources and "optical system"

Similar to conventional photolithography, X-ray lithography also requires intensive and narrow-band ( monochromatic ) electromagnetic radiation. The wavelength of soft X-rays is in the range 10–0.1 nm. However, the range 2–0.2 nm is more of practical importance, due to the interaction behavior of the materials with the X-rays. Diffraction effects, which limit the resolution in conventional photolithography, can be neglected in this area. The so-called deep X-ray lithography (DXRL), on the other hand, uses shorter wavelengths in the order of 0.1 nm and modified procedures such as the LIGA process to produce deep or even three-dimensional structures. Theoretically, the following radiation sources come into question: high-performance X -ray tubes , plasma X-ray sources and synchrotron radiation sources .

Synchrotrons have turned out to be one of the most interesting radiation sources, among other things because the efficiency and the achievable intensities of the other radiation sources are not sufficient for economic use and these do not provide parallel beams . Synchrotron radiation is characterized, among other things, by the high intensity and brilliance of the radiation emitted. They thus allow a relatively fast exposure without using extremely sensitive resists. The use of complex synchrotrons for semiconductor production is currently an extremely big challenge for the introduction of this technology. One technical option are compact storage rings , such as the COZY ( Compact Synchrotron or Cooler Synchrotron ), the space requirements of which are roughly the same when 8 wafer steppers are connected of today's ArF immersion photolithography machines.

The refractive index of almost all materials in the X-ray range is around 1 and thus roughly corresponds to the refractive index in a vacuum and that in air. Lens and mirror systems, as they are used in conventional photolithography, have already been shown, but they are very complex to manufacture and therefore practically impossible to use for X-ray lithography. This means that direct writing (as in electron beam lithography ) and projection techniques (as in conventional photolithography) cannot be implemented. For the implementation of X-ray lithography, a proximity or contact technology must therefore be used; with structure sizes of a few nanometers, this also places extreme demands on the 1: 1 shadow masks. As early as 1990, the production of a free-standing line structure with a width of around 30 nm for various exposure wavelengths could be demonstrated.

In addition to the “normal” proximity technology, demonstration experiments based on Fresnel diffraction (near-field approximation) in the so-called “ sweet spot ” have also been shown in recent years , cf. Resolving power section .

Mask technology

A mask for X-ray lithography consists of an X-ray absorber of a higher atomic number , typically gold or compounds made of tantalum or tungsten , on a membrane made of a material of low atomic number that is permeable to X-rays, for example made of silicon nitride , silicon carbide or diamond . The pattern on the mask is written by direct write electron beam lithography on a resist developed for conventional semiconductor processes. Since exposure using the step-and-repeat process is best economically and technically easier to implement, the size of the masks is usually only a few square centimeters, for example 5 cm × 5 cm. The disadvantage of this technology is that the wafer throughput per hour is computationally lower than with a full exposure (which, of course, would have to be realized first of all for the currently usual wafer sizes of 300 mm in diameter and would have to achieve similar defect rates)

Paint systems

Organic layers are used as photoresist as standard in the lithography processes used in semiconductor technology. The energy of X-rays is far above the binding energies of chemical bonds of these compounds and only interacts directly with them to a small extent (weak absorption). They can therefore not be used directly for (economical) exposure. However, their energy is large enough to trigger photo and Auger electrons from the resist atoms. Therefore, in principle, any electron-sensitive resist can also be used for X-ray lithography. This includes classic photoresists such as SU-8 , which are both light and electron sensitive, or polymethyl methacrylate (PMMA). Both examples belong to a group of rather less sensitive resists with an X-ray sensitivity of 500 to 1,000 mJ / cm 2 and allow exposure times of a few seconds per "exposure shot". Other, more sensitive resists are poly (butene-1-sulfone) (PBS), poly (glycidyl methacrylate-coethyl acrylate) (COP), fluorinated polymethyl methacrylate (FBM) or methyl α-fluoroacrylate (MFA) with an X-ray sensitivity below 100 mJ / cm 2 . However, they are often less resistant to subsequent processes such as reactive ion etching . However, this problem can also be solved with the possible use of multilayer resists. Such resist systems are usually also used in conventional photolithography, in which case they are often used to reduce reflections , which is not a problem in X-ray lithography.

Photo, Auger and secondary electrons

As mentioned before, the complete absorption of the X-ray photon by an electron generates photoelectrons with a certain kinetic energy (photoeffect). The kinetic energy results from the difference between the energy of the incident photon (here in the range from 123.98 to 12.398 eV) and the binding energy of the electron in the atom (in the case of the 1s orbital of carbon approx. 283 eV). The free photoelectrons, which are very high in energy, depending on the wavelength used, collide with other atoms in the resist, from which they can release (more weakly bound) electrons, which we call secondary electrons in this case . This collision cascade is repeated until the energy of the electrons is no longer sufficient to trigger another electron.

The photoelectrons released by X-rays create a void in an inner orbital of the atom, which is filled by an electron from a higher shell. The energy released in the process is emitted either as fluorescent X-ray radiation or non-radiating through the release of another electron, an Auger electron . Auger electrons act like photoelectrons in the resist and, like these, can generate secondary electrons through collisions with other atoms.

The relative ranges of photo and Auger electrons or their secondary electrons depend on their respective energy. These energies are in turn dependent on the energy of the incident radiation and the composition of the resist. What is important in X-ray lithography is the effective range of the secondary electrons, which have enough energy to create or break chemical bonds in negative or positive photoresists.

Charging

High-energy radiation, such as X-rays, above the ionization potential generates free electrons, which are not negligible in comparison to the electrons generated by electron beams. The charging of a sample by ionization is a rather rare possibility that occurs if it is not ensured that electrons generated by ionization leave the surface or remain in the sample. The energy transfer to the electrons through the ionizing radiation leads to a separation of positive and negative charges, which recombine quickly due to the long range of the Coulomb force . In non-conductive layers such as the gate - dielectric and resist layers was observed to be charged positively or negatively under electron irradiation. Such layers are finally neutralized locally by space charge (electrons that enter or leave the layer through the surface) at the resist-vacuum interface and Fowler-Nordheim injection from the substrate.

The range of the electrons in the layer can be influenced by the local electric field. The situation is complicated by the presence of holes (positively charged electron vacancies) which are generated along with the secondary electrons and which can be expected to follow their movements. As the neutralization process progresses, any initially existing charge concentration begins to be distributed in the material. The final chemical state of the resist layer is reached after the completion of the neutralization, after all electrons have finally slowed down. Normally, with the exception of X-ray steppers, the charging can be controlled by a flow gun, the resist thickness or the charge-dissipating layer.

Resolving power

In contrast to electron beam lithography, the X-rays initially do not lead to a negative charge on the substrate, which causes the beam to spread in the material and which in turn limits the resolution. In the case of X-ray lithography, there is also no backscattering of incident electrons in the resist and the pattern image in the resist is essentially determined by the exposure contrast of the incident X-rays and the scattering of the secondary electrons in the resist.

The exposure contrast is described in the literature with the Fresnel diffraction of electromagnetic waves. The resulting diffraction limit is essentially dependent on the wavelength of the X-ray radiation used and the distance between the mask and the resist layer. The resolution improves the smaller the wavelength and the smaller the distance. For a practically usable distance of 10 µm and a wavelength of 1 nm, for example, there is a theoretical resolution limit of 100 nm.

In addition to Fresnel diffraction, it is primarily the scattering of the secondary electrons in the resist that limits the real resolution. The mean free path or the effective range of the electrons results in a blurring in the resist. It is usually modeled as a Gaussian function (where σ corresponds to the unsharpness ) that is convoluted with the expected image. As the desired resolution approaches the unsharpness, the dose image (the distribution of the absorbed energy in the resist) becomes wider than the aerial image (intensity of the electric field after crossing the mask-wafer distance) of the incident X-rays. The ultimately decisive blurring is the latent image (distribution of the active chemical compounds in the resist), which describes the creation and breaking of chemical bonds during the resist exposure. The developed image is the final resist profile created by the selective contrast of the development process. According to various publications, the range of the primary, Auger and secondary electrons can be very large (a few tens of nanometers) or small (a few nanometers). Since this range is not a fixed value, it is difficult to quantify the range. However, it can be estimated using the green dose depth for electrons. The so-called green width R G is calculated approximately:

For a wavelength of 1 nm, R G corresponds to approx. 56 nm.

Similar to optical lithography, techniques are also being researched for X-ray lithography with the help of which one can go below this resolution limit. An example of this is the use of a systematic edge offset (“bias”) of the resist structures in relation to the structures on the mask. For example, the edge of an open area on the mask (clear) in the resist can lie a few nanometers further in the direction of the open area and thus the structure in the resist can be smaller than on the mask. This offset can be up to 55 nm (possibly even further), so an example was published in which a 152 nm open line structure ( clear ) on the mask in the resist was only 43 to 46 nm wide, which is a reduction of approx. 3.5 corresponds. In this way, the structures on the mask can be larger, which can significantly reduce mask costs. Because the distance measure ( English pitch does not change at a line trench structure), this means that the inverse structure is correspondingly enlarged. A dense line-trench structure with structures of the same size of approx. 45 nm each is therefore not possible with a single exposure. Such dense structures would have to be created by multiple exposures with parallel shift, as is already used in a few areas in conventional photolithography today. With the help of this technology, dense structures with a spacing of 25 nm, possibly even 15 nm, can be created.

Advantages and disadvantages

In terms of resolving power, some maskless NGL processes, such as maskless electron beam and ion beam lithography, give better results than X-ray lithography. However, these processes have so far been very time-consuming and, because of their low throughput, are not economical in the industrial environment for the production of microelectronic circuits. X-ray lithography, which, like conventional photolithography, is a contactless projection process, offers decisive advantages here. Furthermore, due to the high beam parallelism, it allows a large theoretical depth of focus and thus the use of thick resist layers (important for long etching processes , among other things ), as is used in microsystem technology in the LIGA process as so-called X - ray depth lithography . However, since part of the X-ray radiation penetrates the lacquer layer and even the entire wafer, problems arise in turn when using multilayer lacquer techniques.

literature

  • Dietrich Widmann, Hermann Mader, Hans Friedrich: Technology of highly integrated circuits . Springer, 1996, ISBN 3-540-59357-8 , pp. 143-153 .
  • Wolfgang Menz, Jürgen Mohr, Oliver Paul: Microsystem technology for engineers . John Wiley & Sons, 2005, ISBN 978-3-527-30536-0 , pp. 232-240 .
  • P. Rai-Choudhury: Handbook of Microlithography, Micromachining, and Microfabrication . IET, 1997, ISBN 0-85296-906-6 , Chapter X-ray lithography , pp. 253-320 .
  • William B. Glendinning, Franco Cerrina: Chapter X-Ray Lithography . In: Helbert Helbert (Ed.): Handbook of VLSI Microlithography: Principles, Tools, Technology and Applications . 2nd Edition. William Andrew Inc, 2001, ISBN 0-8155-1444-1 , pp. 856-956 .
  • Katsumi Suzuki, Shinji Matsui, Yukinori Ochiai: Sub-Half-Micron Lithography for ULSIs . Cambridge University Press, 2000, ISBN 978-0-521-57080-0 , Chapter 3. X-Ray Lithography , pp. 66-110 .

Individual evidence

  1. Yuli Vladimirsk: Lithography . In: James AR Samson, DL Ederer (Ed.): Vacuum Ultraviolet Spectroscopy II . Academic Press, 1998, ISBN 978-0-12-475979-4 , pp. 205–223 ( limited preview in Google Book search).
  2. ^ DL Spears, Henry I. Smith: High-resolution pattern replication using soft X rays . In: Electronics Letters . tape 8 , no. 4 , 1972, p. 102-104 , doi : 10.1049 / el: 19720074 . Quoted from: Prosenjit Rai-Choudhury (Ed.): Handbook of microlithography, micromachining, and microfabrication . Institution of Engineering and Technology, London 1997, ISBN 0-85296-906-6 .
  3. a b c d e f Katsumi Suzuki, Shinji Matsui, Yukinori Ochiai: Sub-Half-Micron Lithography for ULSIs . Cambridge University Press, 2000, ISBN 978-0-521-57080-0 , Chapter 3. X-Ray Lithography , pp. 66-110 .
  4. a b c d Dietrich Widmann, Hermann Mader, Hans Friedrich: Technology of highly integrated circuits . Springer, 1996, ISBN 3-540-59357-8 , pp. 143-153 .
  5. a b c d Wolfgang Menz, Jürgen Mohr, Oliver Paul: Microsystem technology for engineers . John Wiley & Sons, 2005, ISBN 978-3-527-30536-0 , pp. 232-240 .
  6. K. Early, ML Schattenburg, Henry I. Smith: Absence of resolution degradation in X-ray lithography for λ from 4.5nm to 0.83nm . In: Microelectronic Engineering . tape 11 , no. 1-4 , 1990, pp. 317-321 , doi : 10.1016 / 0167-9317 (90) 90122-A .
  7. a b c Antony Bourdillon, Yuli Vladimirsky: X-ray Lithography on the Sweet Spot . In: UHRL . San Jose 2006, ISBN 978-0-9789839-0-1 ( online ).
  8. a b c Yuli Vladimirsky, Antony Bourdillon, Olga Vladimirsky, Wenlong Jiang, Quinn Leonard: Demagnification in proximity x-ray lithography and extensibility to 25 nm by optimizing Fresnel diffraction . In: Journal of Physics D: Applied Physics . tape 32 , no. 22 , 1999, p. L114-L118 , doi : 10.1088 / 0022-3727 / 32/22/102 .
  9. KD Vora, BY Shew, EC Harvey, JP Hayes, AG Peele: Sidewall slopes of SU-8 HARMST using deep x-ray lithography . In: Journal of Micromechanics and Microengineering . tape 18 , no. 3 , 2008, p. 035037 , doi : 10.1088 / 0960-1317 / 18/3/035037 .
  10. a b IA Glavatskikh, VS Kortov, H.-J. Fitting: Self-consistent electrical charging of insulating layers and metal-insulator-semiconductor structures . In: Journal of Applied Physics . tape 89 , no. 1 , 2001, p. 440-448 , doi : 10.1063 / 1.1330242 .
  11. ^ RA Levy: Microelectronic Materials and Processes . Springer, 1989, ISBN 978-0-7923-0154-7 , Chapter 9.4. X-Ray Lithography , p. 414-418 .
  12. AJ Bourdillon, CB Boothryd, GP Williams, Y. Vladimirsky: Near-field x-ray lithography to 15 nm . In: Proc. SPIE Microlithography . tape 5374 , 2004, pp. 546-557 , doi : 10.1117 / 12.529642 .