ModelSim: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
no commons
Citation bot (talk | contribs)
Misc citation tidying. | Use this bot. Report bugs. | Suggested by AManWithNoPlan | #UCB_CommandLine
 
(42 intermediate revisions by 23 users not shown)
Line 1: Line 1:
'''ModelSim''' is a multi-language environment by [[Siemens]]<ref>{{cite web | url=https://eda.sw.siemens.com/en-US/ic/modelsim/ | title=ModelSim }}</ref> (previously developed by [[Mentor Graphics]],<ref name="b1"/>) for simulation of [[hardware description language]]s such as [[VHDL]], [[Verilog]] and [[SystemC]], and includes a built-in C debugger.<ref name="b2">[https://books.google.com/books?id=F-mTrH1NB4UC&dq=ModelSim&pg=PA97 Reconfigurable Embedded Control Systems], Idea Group Inc (IGI), 30-Nov-2010</ref><ref name="b1"/> ModelSim can be used independently, or in conjunction with [[Altera Quartus|Intel Quartus Prime]], [[PSIM Software|PSIM]],<ref>{{Cite web|title=PSIM ModCoupler: VHDL & Verilog with Modelsim|url=https://powersimtech.com/products/psim/psim-modules/modcoupler/|access-date=2021-06-01|website=Powersim, Inc|language=en-US}}</ref> [[Xilinx ISE]] or [[Xilinx Vivado]].<ref name="b5">[https://books.google.com/books?id=wUALmyy9YUcC&dq=ModelSim&pg=PA41 Digital Hardware Design], Laxmi Publications, Ltd., 01-Sep-2008</ref> Simulation is performed using the [[graphical user interface]] (GUI), or automatically using scripts.<ref name="b7">[https://books.google.com/books?id=ljLBBAAAQBAJ&dq=ModelSim&pg=PA17 Synthesizable VHDL Design for FPGAs], Springer Science & Business Media, 21-Oct-2013</ref>
'''ModelSim''' is a hardware simulation and debug environment by [[Mentor Graphics]], primarily targeted at smaller ASIC and FPGA design.


==External links==
==Editions==
Mentor HDL simulation products are offered in multiple editions, such as ModelSim PE and Questa Sim.
* {{Official website|http://www.mentor.com/products/fv/modelsim/}}


Questa Sim offers high-performance and advanced debugging capabilities, while ModelSim PE is the entry-level simulator for hobbyists and students.<ref name="b1">[https://books.google.com/books?id=Eqgrqktkk1YC&dq=ModelSim&pg=PA606 Handbook of Digital Techniques for High-Speed Design], Pearson Education India, 01-Sep-2007</ref> Questa Sim is used in large multi-million gate designs, and is supported on [[Microsoft Windows]] and Linux, in 32-bit and 64-bit architectures.<ref name="b1"/>
{{Programmable Logic}}


ModelSim can also be used with [[MATLAB]]/[[Simulink]], using ''Link for ModelSim''.<ref name="b6">[https://books.google.com/books?id=cnkYRKhUwyEC&dq=ModelSim&pg=PA849 Industrial Control Technology], William Andrew, 12-Aug-2008</ref><ref name="b4">[https://books.google.com/books?id=pJSqZZmc9_oC&dq=ModelSim&pg=PA168 Recent Advances in Modeling and Simulation Tools for Communication Networks and Services], Springer Science & Business Media, 20-Sep-2007</ref> ''Link for ModelSim'' is a fast bidirectional co-simulation interface between Simulink and ModelSim.<ref name="b4"/><ref name="b6"/> For such designs, MATLAB provides a numerical simulation toolset, while ModelSim provides tools to verify the hardware implementation & timing characteristics of the design.<ref name="b4"/>


==Language support==
{{Uncategorized stub|date=January 2015}}
ModelSim uses a unified kernel for simulation of all supported languages, and the method of debugging embedded C code is the same as VHDL or Verilog.<ref name="b1"/>
{{Compu-hardware-stub}}

ModelSim and Questa Sim products enable simulation, verification and debugging for the following languages:<ref name="b1"/>
*[[VHDL]]
*[[Verilog]]
*[[Verilog]] 2001
*[[SystemVerilog]]
*[[Property Specification Language|PSL]]
*[[SystemC]]

==See also==
* [[Intel Quartus Prime]]
* [[Icarus Verilog]]
* [[List of HDL simulators]]
* [[NCSim]]
* [[Verilator]]
* [[Xilinx ISE]]
* [[Xilinx Vivado]]

==References==
{{Reflist}}

==External links==
* {{Official website|https://www.mentor.com/products/fpga/verification-simulation/modelsim/}}

{{Programmable Logic}}


[[Category:Computer-aided design software]]
[[fr:ModelSim]]
[[Category:Electronic design automation software]]
[[Category:Digital electronics]]

Latest revision as of 11:02, 17 March 2023

ModelSim is a multi-language environment by Siemens[1] (previously developed by Mentor Graphics,[2]) for simulation of hardware description languages such as VHDL, Verilog and SystemC, and includes a built-in C debugger.[3][2] ModelSim can be used independently, or in conjunction with Intel Quartus Prime, PSIM,[4] Xilinx ISE or Xilinx Vivado.[5] Simulation is performed using the graphical user interface (GUI), or automatically using scripts.[6]

Editions[edit]

Mentor HDL simulation products are offered in multiple editions, such as ModelSim PE and Questa Sim.

Questa Sim offers high-performance and advanced debugging capabilities, while ModelSim PE is the entry-level simulator for hobbyists and students.[2] Questa Sim is used in large multi-million gate designs, and is supported on Microsoft Windows and Linux, in 32-bit and 64-bit architectures.[2]

ModelSim can also be used with MATLAB/Simulink, using Link for ModelSim.[7][8] Link for ModelSim is a fast bidirectional co-simulation interface between Simulink and ModelSim.[8][7] For such designs, MATLAB provides a numerical simulation toolset, while ModelSim provides tools to verify the hardware implementation & timing characteristics of the design.[8]

Language support[edit]

ModelSim uses a unified kernel for simulation of all supported languages, and the method of debugging embedded C code is the same as VHDL or Verilog.[2]

ModelSim and Questa Sim products enable simulation, verification and debugging for the following languages:[2]

See also[edit]

References[edit]

  1. ^ "ModelSim".
  2. ^ a b c d e f Handbook of Digital Techniques for High-Speed Design, Pearson Education India, 01-Sep-2007
  3. ^ Reconfigurable Embedded Control Systems, Idea Group Inc (IGI), 30-Nov-2010
  4. ^ "PSIM ModCoupler: VHDL & Verilog with Modelsim". Powersim, Inc. Retrieved 2021-06-01.
  5. ^ Digital Hardware Design, Laxmi Publications, Ltd., 01-Sep-2008
  6. ^ Synthesizable VHDL Design for FPGAs, Springer Science & Business Media, 21-Oct-2013
  7. ^ a b Industrial Control Technology, William Andrew, 12-Aug-2008
  8. ^ a b c Recent Advances in Modeling and Simulation Tools for Communication Networks and Services, Springer Science & Business Media, 20-Sep-2007

External links[edit]