Property Specification Language

from Wikipedia, the free encyclopedia

The property specification language ( PSL ; German as description language for properties ) was of Accellera developed properties or assertions in the design of hardware to specify designs. These properties can then be simulated or formally verified . Since September 2004 the standardization of the language has been promoted by the IEEE 1850 working group. In September 2005 , the IEEE 1850 Standard for Property Specification Language (PSL) was officially introduced.

The property specification language should be able to be used in a large number of hardware description languages . For example:

Web links