AMD Fusion

from Wikipedia, the free encyclopedia
AMD Fusion
Production: since 2011
Producers:
Processor clock: 1.0 GHz to 4.1 GHz
Instruction set : x86 / AMD64
Microarchitecture : Bobcat, K10 , Jaguar, Bulldozer and Piledriver , Steamroller and Excavator
Base:
Names of the processor cores:
  • Bobcat
  • Husky (Llano)
  • Piledriver (Trinity, Richland)
  • jaguar
  • Steamroller (Kaveri, Godavari)
  • Excavator (Carrizo, Bristol Ridge, Stoney Ridge)
  • Zen (Raven Ridge)

AMD Fusion is the code and brand name of a processor concept , the CPU and GPU , video and other hardware accelerators on a The pooled. It is the result of ATi's takeover by AMD. AMD calls this construction Accelerated Processing Unit (APU). The first models based on this concept for use in netbooks and similar devices were presented in January 2011, and more followed in the course of 2011. They are part of the HSA Foundation's HSA program .

history

AMD demonstrated its first Fusion APU on June 1, 2010 at Computex . The demonstration included a. a short recording showing an excerpt from the 3D game Aliens versus Predator , which is said to have run in real time on an Ontario system.

Specific products in the form of the E and C series were presented on January 4, 2011. The Llano series for notebooks was presented on June 14, 2011.

On May 15, 2012, the second generation of the mobile A-series called Trinity (based on the Piledriver processor core of the Bulldozer architecture) was made public. This replaces the Llano series, which is still based on the old K10 architecture.

marketing

The processors or APUs have no marketing names, as was previously the case with Phenom or Athlon. The only brand name in the processor name is "AMD". However, there is still the AMD Vision marketing name for all AMD systems . Depending on the performance and range of functions, corresponding vision stickers are also available with additions such as “Smart HD”, for cheap and weaker versions, through “Brilliant HD Everyday” and “Brilliant HD Entertainment”, for the lower mass market, right up to “Brilliant HD Performance” ", Added for the upper" mainstream "area.

Technical implementation of the concept

The core aspect of the Fusion technology is the direct connection of essential system components - × 86 / AMD64 processor cores, vector engines ( SIMD ) and Unified Video Decoders (UVD) for high definition video playback - via the same high-speed bus with the system main memory ( random Access memory or RAM). The architecture is intended to circumvent some of the disadvantages associated with integrated graphics processors (IGPs) in previous single-chip solutions, such as higher memory latency and energy consumption as well as shorter runtimes in battery mode. AMD calls this construction Accelerated Processing Unit . The multi-core processors to one or more main processor - cores contain (CPU) and at least one additional processor for special tasks, initially a graphics processor (GPU). This combination should then work better together.

So far, AMD has implemented the following fusion concept for different areas:

Subnotebooks and tablets, netbooks and nettops

Ontario and Zacate (Bobcat architecture)

Bobcat is the code name for the architecture of a two-core processor with integrated GPU and Northbridge , which has been optimized for low power consumption and low price and therefore has comparatively little computing power. Areas of application are inexpensive systems such as netbooks and nettops as well as devices that should have particularly low power dissipation, such as subnotebooks and tablets . In contrast to the competing product Intel Atom, Bobcat is a more efficient out-of-order processor architecture, which forms the basis for AMD's Ontario and Zacate APUs, which are in the C, E and G series ( AMD Family 14h Processor ) be used.

Kabini and Temash (Jaguar architecture)

The Jaguar architecture replaces the processors based on Bobcat. It forms the basis for AMD's Kabini and Temash APUs of the A and E series. This generation converts to TSMC's 28 nanometer bulk process, which allows a core area of ​​3.1 mm² (for comparison: Bobcat in 40 nm Manufacturing 4.9 mm²) and thus energy consumption and the area per core reduced. This enables up to four cores, which can be combined in a so-called module. The modularity of this system allows you to better respond to customer requests (see Xbox One and PlayStation 4 ). The size of the processor cache, which is shared by all cores, increases to 2 MB and the floating point unit works with 128-bit data width . The Jaguar cores are coupled with the graphics architecture "Graphics Core Next" ("GCN") so that significantly more graphics performance is available than with its predecessors based on the VLIW architecture .

With Jaguar, AMD supports the complete SSEx instruction set as well as AES and AVX for the first time in low-voltage processors . These instruction sets were previously only reserved for large architectures such as Bulldozer (SSEx, AES and AVX) or K10 ( SSE4a only ). The IPC ( Instructions per Cycle ) should increase by approx. 15%.

Beema and Mullins (Puma architecture)

With the Puma architecture, AMD aims to further reduce power consumption compared to Jaguar without sacrificing performance. Production continues in 28 nanometers, but refinements in production and design ensure reduced leakage current and lower power consumption when computing. As an alternative to Intel's Trusted Execution Technology , AMD has integrated TrustZone technology from the ARM world. The chip has an ARM Cortex-A5 for this purpose .

Notebooks and Desktops

Llano (K10 architecture, Husky core)

Llano is the code name for a processor architecture with integrated GPU and Northbridge , which is designed for the lower "mainstream" area and is used in notebooks and desktop computers. This is classified by AMD as AMD Family 12h Processors . The Fusion Llano APU combines two to four Husky processor cores of the K10 generation ( AMD Family 10h Processor ) with compatibility to × 86 instruction sets and the AMD64 architecture, as well as a DirectX 11 compatible graphics core, as used by Radeon HD-5570 cards can be found. Unlike the Radeon HD 5570, UVD 3.0 has already been integrated into the graphics chip instead of UVD 2.0.

The parallel computing power of the GPU part is supposed to complement the serial processor cores, especially in the floating point range , in addition to the graphics acceleration via programming interfaces such as OpenCL , WebGL , AMD APP (formerly “ATI Stream” SDK) and Microsoft DirectCompute .

Although the scalar × 86 cores and the SIMD engines of the APUs share a common path to the system memory, in this first generation the memory is still separated into different regions. On the one hand there is the memory area managed by the operating system, which runs on the × 86 cores, and on the other hand there is the memory regions managed by the software which is executed on the SIMD engines. AMD has set up high-speed block transfer engines for data exchange between the two parts. In contrast to data transfers between external frame buffers and system main memory, these transfers should never occupy the (external) system bus.

Trinity (Piledriver CPU core)

The APUs with the code name Trinity replace the Llano series with K10 internals. The newer architecture with GPU and Northbridge, also designed for the mass market, is also used in notebooks and desktops. It combines the processor technology of the Piledriver CPUs (an optimized version of the Bulldozer architecture ( AMD Family 15h Processor )), designed as modules, with more recent Radeon HD GPUs. These are cores similar to the Radeon HD 7350 to 7670 of the AMD Radeon HD 7000 series . The market launch for the notebook CPUs was May 15, 2012, the desktop CPUs were presented on October 2, 2012.

Richland (Piledriver CPU core)

The Richland APUs replace their predecessors based on Trinity. Despite the new code names, they use the same architecture and only offer a new stepping. The GPUs are based on the VLIW4 architecture, also known as TeraScale 3. Technically, they correspond to the graphics cards of the HD6900 series with the code name "Northern Islands".

Kaveri (Steamroller CPU core)

The Kaveri APUs follow the Trinity and Richland series. They were announced for January 14, 2014 and contain the new Steamroller architecture , which is a far-reaching overhaul of the Bulldozer architecture. The integrated GPU is converted to the GCN architecture, as it was presented with the Bonaire chip in the Radeon HD7790 in March 2013. In the course of 2014 APUs for desktop computers (with FM2 + socket ), notebooks, in the embedded and in the server area will come onto the market.

In moderate resolutions like 720p , games on a Kaveri system mostly run smoothly with 40 or more FPS . A requirement for this is a fast main memory ( dual-rank DDR3-RAM from approx. 2400 MHz clock speed), since the memory bandwidth is the limiting factor here. The new AMD Mantle graphics interface in the Catalyst graphics driver should provide a further performance boost .

Carrizo-L (Puma + architecture)

The Puma + architecture represents a small evolution of the Puma architecture and offers slightly increased clock rates. The chips can be found on the new FP4 socket and each have 128 shaders.

Technical data - nettops, netbooks, subnotebooks, tablets

Bobcat-based models (Zacate, Ontario)

The available memory bandwidth (1-channel DDR3-1066 or DDR3-1333 with 64-bit memory width ) is shared by the CPU and GPU in concurrent access. The actual chip area ( the size ) is between 75 and 77 mm².

RAM - Bus - bandwidth
Storage type Data rate Clock frequency
PC3-8500 DDR3 -1066 8.525 GB / s 533 MHz
PC3-10600 DDR3-1333 10.6 GB / s 667 MHz

Zacate, E series

Zacate is the AMD code name for an 18 watt APU for the mainstream notebook market in 40 nm technology. Compared to the C series, the models have a higher clock rate for both the processor and the graphics core.

Model
number
CPU
cores
Tact L2 cache Multi 1 V core GPU model GPU
configuration
GPU clock
(max.Turbo)
Storage
controller
TDP Turbo
Core
Processor
socket
Market launch
SPs TMUs ROPs
E-240 1 1.5 GHz 512 kB 15 ×  1.175-1.35 HD 6310 80 8th 4th 500 MHz DDR3-1066 18 W No BGA-413 January 4, 2011
E-300 2 1.3 GHz 2 × 512 kB 13 × N / A HD 6310 80 8th 4th 488 MHz DDR3-1066 18 W No BGA-413 August 22, 2011
E-350 2 1.6 GHz 2 × 512 kB 16 ×  1.25-1.35 HD 6310 80 8th 4th 500 MHz DDR3-1066 18 W No BGA-413 January 4, 2011
E-450 2 1.65 GHz 2 × 512 kB 16.5 N / A HD 6320 80 8th 4th 508 (600) MHz DDR3-1333 18 W Yes BGA-413 August 22, 2011
E1-1200 2 1.4 GHz 2 × 512 kB 14th N / A HD 7310 80 8th 4th 500 MHz DDR3-1066 18 W No BGA-413 Q3 2012
E1-1500 2 1.48 GHz 2 × 512 kB 14.8 N / A HD 7310 80 8th 4th 529 MHz DDR3-1066 18 W No BGA-413 Q1 2013
E2-1800 2 1.7 GHz 2 × 512 kB 17th 1.25-1.35 HD 7340 80 8th 4th 523 (680) MHz DDR3-1333 18 W Yes BGA-413 Q3 2012
E2-2000 2 1.75 GHz 2 × 512 kB 17.5 N / A HD 7340 80 8th 4th 538 (700) MHz DDR3-1333 18 W Yes BGA-413 Q1 2013
1 The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Ontario, C series

Netbook with AMD Dual-Core C-50: Acer Aspire One 522
AMD C-60

Ontario is the code name for a dual-core system-on-a-chip implementation in 40 nm technology. The APU integrates the Bobcat processor core and is intended for ultra-thin notebooks, netbooks and other products below the 20 watt limit. An Ontario APU has one or two Bobcat processor cores and a DirectX 11 graphics core with 280 MHz. The BGA housing of the Ontario, which is optimized for mobile devices, is designed to be soldered onto motherboards for thin-and-light notebooks and netbooks.

At the beginning of 2011 the series was introduced with two models. The single-core version C-30 has a clock rate of 1.2 GHz for the processor core, the dual-core version C-50 of 1 GHz for both cores. As far as we know, the dual-core versions C-60 and C-70 have exactly the same technical data. According to AMD, in addition to the APU name, only the Radeon branding of the GPU on the SoC has been changed to bring it in line with the other products.

Model
number
CPU
cores
Cycle
(max.turbo)1
L2 cache Multi2 V core GPU model GPU
configuration
GPU
clock
TDP Turbo
Core
Processor
socket
Market launch
SPs TMUs ROPs
C-30 1 1.2 GHz 512 kB 12 ×  1.25-1.35 HD 6250 80 8th 4th 280 MHz 9 W. No BGA-413 January 4, 2011
C-50 2 1.0 GHz 2 × 512 kB 10 ×  1.05-1.35 HD 6250 80 8th 4th 280 MHz 9 W. No BGA-413 January 4, 2011
C-60 2 1.0 (1.33) GHz 2 × 512 kB 10 ×  N / A HD 6290 80 8th 4th 276-400 MHz 9 W. Yes BGA-413 August 22, 2011
C-70 2 1.0 (1.33) GHz 2 × 512 kB 10 ×  N / A HD 7290 80 8th 4th 276-400 MHz 9 W. Yes BGA-413 15th September 2012
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Ontario, Embedded G Series

With the Embedded G-Series platform, AMD will make Fusion technology available for embedded systems in early 2011 , which are additional Ontario versions. On a package area of ​​361 mm² (19 × 19 mm), the APUs integrate one or two 64-bit processor cores of the Bobcat class as well as a DirectX -11-capable graphics unit that can also be used as a vector processor . Since March 2011, AMD has also been offering so-called "headless" versions for embedded systems without graphics output; these have the same package area of ​​361 mm².

Model
name
Clock
in GHz
Number
of cores
L2 cache graphic Storage
type
Max. TDP
in watts
Turbo
Core
T16R 0.615 1 512 kB AMD Radeon ™ HD 6250 LV DDR3-1066 4.5 No
T24L 1.0 1 512 kB - LV DDR3-1066 5 No
T30L 1.4 1 512 kB - DDR3-1066 18th No
T40R 1.0 1 512 kB AMD Radeon ™ HD 6250 LV DDR3-1066 5.5 No
T40E 1.0 2 2 × 512 kB AMD Radeon ™ HD 6250 LV DDR3-1066 6.4 No
T40N 1.0 2 2 × 512 kB AMD Radeon ™ HD 6250 LV DDR3-1066 9 Yes
T44R 1.2 1 512 kB AMD Radeon ™ HD 6250 LV DDR3-1066 9 No
T48L 1.4 2 2 × 512 kB - DDR3-1066 18th No
T48E 1.4 2 2 × 512 kB AMD Radeon ™ HD 6250 DDR3-1066 18th No
T48N 1.4 2 2 × 512 kB AMD Radeon ™ HD 6310 DDR3-1066 18th No
T52R 1.5 1 512 kB AMD Radeon ™ HD 6310 DDR3-1333 18th No
T56E 1.65 2 2 × 512 kB AMD Radeon ™ HD 6250 DDR3-1333 18th Yes
T56N 1.65 2 2 × 512 kB AMD Radeon ™ HD 6310 DDR3-1333 18th Yes

Jaguar-based models (Kabini, Temash)

Kabini, A and E series

Model
number
CPU
cores
Tact L2 cache Multi2 V core GPU model GPU
configuration
GPU clock
(max.Turbo)
Storage
controller
TDP Turbo
Core
Processor
socket
Market launch
ALUs Shader
units
TMUs ROPs
E1-2100 2 1.00 GHz 2 × 512 kB 10 N / A HD 8210 128 Vec16-SIMD - - 300 MHz DDR3L-1333 9 W. No BGA Q3 2013
E1-2200 2 1.05 GHz 2 × 512 kB 10.5 N / A HD 8210 128 Vec16-SIMD - - 300 MHz DDR3L-1333 9 W. No BGA Q4 2013
E1-2500 2 1.40 GHz 2 × 512 kB 14th N / A HD 8240 128 Vec16-SIMD - - 400 MHz DDR3L-1333 15 W No BGA Q3 2013
E2-3000 2 1.65 GHz 2 × 512 kB 16.5 N / A HD 8280 128 Vec16-SIMD - - 450 MHz DDR3L-1600 15 W No BGA Q3 2013
E2-3800 4th 1.30 GHz 4 × 512 kB 13 N / A HD 8280 128 Vec16-SIMD - - 450 MHz DDR3L-1600 15 W No BGA Q4 2013
A4-5000 4th 1.50 GHz 4 × 512 kB 15th N / A HD 8330 128 Vec16-SIMD - - 500 MHz DDR3L-1600 15 W No BGA Q3 2013
A4-5100 4th 1.55 GHz 4 × 512 kB 15.5 N / A HD 8330 128 Vec16-SIMD - - 500 MHz DDR3L-1600 15 W No BGA Q4 2013
A6-5200 4th 2.00 GHz 4 × 512 kB 20th N / A HD 8400 128 Vec16-SIMD - - 600 MHz DDR3L-1600 25 W No BGA Q3 2013
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Temash, A series

Model
number
CPU
cores
Cycle
(max.turbo)
L2 cache Multi 2 V core GPU model GPU
configuration
GPU clock
(max.Turbo)
Storage
controller
TDP Turbo
Core
Processor
socket
Market launch
ALUs Shader
units
TMUs ROPs
A4-1200 2 1.00 GHz 2 × 512 kB 10 N / A HD 8180 128 8x Vec16-SIMD - - 225 MHz DDR3L-1066 3.9 W No FT3 (BGA) 05/23/2013
A4-1250 2 1.00 GHz 2 × 512 kB 10 N / A HD 8210 128 8x Vec16-SIMD - - 300 MHz DDR3L-1333 8 W. No FT3 (BGA) 05/23/2013
A4-1350 4th 1.00 GHz 4 × 512 kB 10 N / A HD 8210 128 8x Vec16-SIMD - - 300 MHz DDR3L-1066 8 W. No FT3 (BGA) 11/9/2013
A6-1450 4th 1.00 (1.40) GHz 4 × 512 kB 10 (14) N / A HD 8250 128 8x Vec16-SIMD - - 300 (400) MHz DDR3L-1066 8 W. Yes FT3 (BGA) 05/23/2013
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Technical data - desktop and notebook

Jaguar-based models (Kabini)

Desktop models Athlon and Sempron

Model
number
CPU
cores
Tact L2 cache Multi 1 V core GPU model GPU
configuration
GPU clock
(max.Turbo)
Storage
controller
TDP Turbo
Core
Processor
socket
Market launch
ALUs Shader
units
TMUs ROPs
Sempron 2650 2 1.45 GHz 2 × 512 kB 14.5 N / A HD 8240 128 8x Vec16-SIMD 8th 4th 400 MHz DDR3 (L) -1333 25 W No AM1 04/09/2014
Sempron 3850 4th 1.30 GHz 4 × 512 kB 13 N / A HD 8280 128 8x Vec16-SIMD 8th 4th 450 MHz DDR3 (L) -1600 25 W No AM1 04/09/2014
Athlon 5150 4th 1.60 GHz 4 × 512 kB 16 N / A HD 8400 128 8x Vec16-SIMD 8th 4th 600 MHz DDR3 (L) -1600 25 W No AM1 04/09/2014
Athlon 5350 4th 2.05 GHz 4 × 512 kB 20.5 N / A HD 8400 128 8x Vec16-SIMD 8th 4th 600 MHz DDR3 (L) -1600 25 W No AM1 04/09/2014
Athlon 5370 4th 2.20 GHz 4 × 512 kB 22.0 N / A HD 8400 128 8x Vec16-SIMD 8th 4th 600 MHz DDR3 (L) -1600 25 W No AM1 02/02/2016
[[# FN_1

_back |1

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Husky-based models (Llano)

Llano, A and E series

An AMD A6-3650 APU

The A and E series APUs were released in summer 2011. They are primarily intended for mainstream and low-end systems in the notebook and desktop segment. Two to four x86 / AMD64 Husky CPU cores based on the K10 architecture with an improved memory controller and a DirectX 11-capable graphics processor are combined on a silicon die.

The APU is manufactured by Globalfoundries in a 32 nm SOI process and aims at the same target markets as the Athlon II line. The combined processor also has an integrated PCIe 2.0, a dual-channel DDR3-1600 memory controller and 1 MB L2 cache per core, but no L3 cache. If the mainboard is equipped with only one memory module per channel, the memory controller of the desktop processors from the A8 and A6 series is also specified for DDR3-1866; a maximum of DDR3-1333 is provided for mobile processors with up to 35 W TDP. Communication with the chipset / southbridge takes place via the Unified Media Interface (UMI), which is based on PCIe , with 5 GT / s (gigatransfers / second).

Models for the desktop

Model
number
CPU
cores
Cycle
(max.turbo)1
L2 cache Multi 2 V core GPU model GPU configuration GPU
clock
TDP Turbo
Core
Processor
socket
Market launch
SPs Shader
units
Texture
units
ROPs
E2-3200 2 2.4 GHz 2 × 512 kB 24 ×  N / A HD 6370D 160 32x5D-VLIW 8th 4th 444 MHz 65 W No FM1 Q3 / 2011
A4-3300 2 2.5 GHz 2 × 512 kB 25 ×  N / A HD 6410D 160 32x5D-VLIW 8th 4th 444 MHz 65 W No FM1 Q3 / 2011
A4-3400 2 2.7 GHz 2 × 512 kB 27 ×  N / A HD 6410D 160 32x5D-VLIW 8th 4th 600 MHz 65 W No FM1 Q3 / 2011
A4-3420 2 2.8 GHz 2 × 512 kB 28 ×  N / A HD 6410D 160 32x5D-VLIW 8th 4th 600 MHz 65 W No FM1 Q4 / 2011
A6-3500 3 2.1 (2.4) GHz 3 × 1 MB 21 ×  N / A HD 6530D 320 64x5D-VLIW 16 8th 444 MHz 65 W Yes FM1 Q3 / 2011
A6-3600 4th 2.1 (2.4) GHz 4 × 1 MB 21 ×  N / A HD 6530D 320 64x5D-VLIW 16 8th 444 MHz 65 W Yes FM1 Q3 / 2011
A6-3620 4th 2.2 (2.5) GHz 4 × 1 MB 22 ×  N / A HD 6530D 320 64x5D-VLIW 16 8th 444 MHz 65 W Yes FM1 Q4 / 2011
A6-3650 4th 2.6 GHz 4 × 1 MB 26 ×  1.4125 V HD 6530D 320 64x5D-VLIW 16 8th 444 MHz 100 W No FM1 Q3 / 2011
A6-3670K 4th 2.7 GHz 4 × 1 MB 27 × (open) N / A HD 6530D 320 64x5D-VLIW 16 8th 444 MHz 100 W No FM1 Q4 / 2011
A8-3800 4th 2.4 (2.7) GHz 4 × 1 MB 24 ×  N / A HD 6550D 400 80x5D-VLIW 20th 8th 600 MHz 65 W Yes FM1 Q3 / 2011
A8-3820 4th 2.5 (2.8) GHz 4 × 1 MB 25 ×  N / A HD 6550D 400 80x5D-VLIW 20th 8th 600 MHz 65 W Yes FM1 Q4 / 2011
A8-3850 4th 2.9 GHz 4 × 1 MB 29 ×  1.4125 V HD 6550D 400 80x5D-VLIW 20th 8th 600 MHz 100 W No FM1 Q3 / 2011
A8-3870K 4th 3.0 GHz 4 × 1 MB 30 × (open) 1.4125 V HD 6550D 400 80x5D- VLIW 20th 8th 600 MHz 100 W No FM1 Q4 / 2011
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Models for notebooks

Model
number
CPU
cores
Cycle
(max.turbo)1
L2 cache Multi 2 V core GPU model GPU configuration GPU
clock
TDP Turbo
Core
Processor
socket
Market launch
SPs Shader
units
Texture
units
ROPs
E2-3300M 2 1.8 (2.2) GHz 2 × 512 kB 18 ×  N / A HD 6380G 160 32x5D-VLIW 8th 4th 444 MHz 35 W Yes FS1 uPGA Q3 / 2011
A4-3300M 2 1.9 (2.5) GHz 2 × 1 MB 19 ×  N / A HD 6480G 240 48x5D-VLIW 8th 4th 444 MHz 35 W Yes FS1 uPGA Q2 / 2011
A4-3305M 2 1.9 (2.5) GHz 2 × 512 kB 19 ×  N / A HD 6480G 160 32x5D-VLIW 8th 4th 593 MHz 35 W Yes FS1 uPGA Q4 / 2011
A4-3310MX 2 2.1 (2.5) GHz 2 × 1 MB 21 ×  N / A HD 6480G 240 48x5D-VLIW 8th 4th 444 MHz 45 W Yes FS1 uPGA Q2 / 2011
A4-3320M 2 2.0 (2.6) GHz 2 × 1 MB 20 ×  N / A HD 6480G 240 48x5D-VLIW 8th 4th 444 MHz 35 W Yes FS1 uPGA Q4 / 2011
A4-3330MX 2 2.2 (2.6) GHz 2 × 1 MB 22 ×  N / A HD 6480G 240 48x5D-VLIW 8th 4th 444 MHz 45 W Yes FS1 uPGA Q4 / 2011
A6-3400M 4th 1.4 (2.3) GHz 4 × 1 MB 14 ×  N / A HD 6520G 320 64x5D-VLIW 16 8th 400 MHz 35 W Yes FS1 uPGA Q2 / 2011
A6-3410MX 4th 1.6 (2.3) GHz 4 × 1 MB 16 ×  N / A HD 6520G 320 64x5D-VLIW 16 8th 400 MHz 45 W Yes FS1 uPGA Q2 / 2011
A6-3420M 4th 1.5 (2.4) GHz 4 × 1 MB 15 ×  N / A HD 6520G 320 64x5D-VLIW 16 8th 400 MHz 35 W Yes FS1 uPGA Q4 / 2011
A6-3430MX 4th 1.7 (2.4) GHz 4 × 1 MB 17 ×  N / A HD 6520G 320 64x5D-VLIW 16 8th 400 MHz 45 W Yes FS1 uPGA Q4 / 2011
A8-3500M 4th 1.5 (2.4) GHz 4 × 1 MB 15 ×  N / A HD 6620G 400 80x5D-VLIW 20th 8th 444 MHz 35 W Yes FS1 uPGA Q2 / 2011
A8-3510MX 4th 1.8 (2.5) GHz 4 × 1 MB 18 ×  N / A HD 6620G 400 80x5D-VLIW 20th 8th 444 MHz 45 W Yes FS1 uPGA Q2 / 2011
A8-3520M 4th 1.6 (2.5) GHz 4 × 1 MB 16 ×  N / A HD 6620G 400 80x5D-VLIW 20th 8th 444 MHz 35 W Yes FS1 uPGA Q4 / 2011
A8-3530MX 4th 1.9 (2.6) GHz 4 × 1 MB 19 ×  N / A HD 6620G 400 80x5D-VLIW 20th 8th 444 MHz 45 W Yes FS1 uPGA Q2 / 2011
A8-3550MX 4th 2.0 (2.7) GHz 4 × 1 MB 20 ×  N / A HD 6620G 400 80x5D- VLIW 20th 8th 444 MHz 45 W Yes FS1 uPGA Q4 / 2011
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Piledriver-based models (Trinity, Richland)

Trinity and Richland, A series

This second generation of the A-series was released on May 15, 2012 (mobile processors) and the desktop models on October 2, 2012 (desktop) or announced at Computex 2012 (desktop). It is based on the bulldozer architecture with Piledriver cores. The GPU part uses a 4D VLIW shader design that was introduced with the Radeon HD 6900 graphics cards . Models with an appended "K" in the name have an open multiplier, so they can be overclocked or underclocked. CPUs with deactivated graphics units are marketed under the name “Athlon II”.

On March 12, 2013, AMD introduced the 2nd generation Piledriver-based APUs (i.e. the 3rd generation of the A-series) called Richland . Using a technique called "Resonant Clock Mesh", the clock rates of both the CPU and the GPU could be increased.

Models for the desktop

Model
number
Modules /
integer clusters /
threads
Cycle
(max.turbo)1
L2 cache Multi 2 V core GPU model GPU configuration GPU
clock (Turbo)
TDP Turbo
Core
3.0
Processor
socket
Market launch Storage
controller
Code name
SPs Shader
units
Texture
units
ROPs
Athlon II X2 340 1/2/2 3.2 (3.6) GHz 1 × 1 MB N / A N / A deactivated 65 W Yes FM2 Q4 / 2012 1600 MHz Trinity
Athlon II X2 370K 1/2/2 4.0 (4.2) GHz 1 × 1 MB N / A N / A deactivated 65 W Yes FM2 Q2 / 2013 1866 MHz Richland
Athlon II X4 730 2/4/4 2.8 (3.2) GHz 2 × 2 MB N / A N / A deactivated 65 W Yes FM2 Q4 / 2012 1866 MHz Trinity
Athlon II X4 740 2/4/4 3.2 (3.7) GHz 2 × 2 MB N / A N / A deactivated 65 W Yes FM2 Q4 / 2012 1866 MHz
Athlon II X4 750K 2/4/4 3.4 (4.0) GHz 2 × 2 MB N / A N / A deactivated 100 W Yes FM2 Q4 / 2012 1866 MHz
Athlon II X4 760K 2/4/4 3.8 (4.1) GHz 2 × 2 MB N / A N / A deactivated 100 W Yes FM2 Q2 / 2013 1866 MHz Richland
A4-4000 1/2/2 3.0 (3.2) GHz 1 × 1 MB N / A N / A HD 7480D 128 32x4D 8th 8th 720 (N / A) MHz 65 W Yes FM2 Q2 / 2013 (OEM) 1333 MHz
A4-4020 1/2/2 3.2 (3.4) GHz 1 × 1 MB N / A N / A HD 7480D 128 32x4D 8th 8th 720 (N / A) MHz 65 W Yes FM2 Q1 / 2014 1333 MHz
A4-5300 1/2/2 3.4 (3.6) GHz 1 × 1 MB N / A N / A HD 7480D 128 32x4D 8th 8th 724 (N / A) MHz 65 W Yes FM2 Q3 / 2012 (OEM) 1600 MHz Trinity
A4-6300 1/2/2 3.7 (3.9) GHz 1 × 1 MB N / A N / A HD 8370D 128 32x4D 8th 8th 760 (N / A) MHz 65 W Yes FM2 Q2 / 2013 (OEM) 1866 MHz Richland
A4-6320 1/2/2 3.8 (4.0) GHz 1 × 1 MB N / A N / A HD 8370D 128 32x4D 8th 8th 760 (N / A) MHz 65 W Yes FM2 Q1 / 2014 1866 MHz
A6-5400K 1/2/2 3.6 (3.8) GHz 1 × 1 MB N / A N / A HD 7540D 192 48x4D 12 8th 760 (N / A) MHz 65 W Yes FM2 Q3 / 2012 (OEM) 1866 MHz Trinity
A6-6400K 1/2/2 3.9 (4.1) GHz 1 × 1 MB N / A N / A HD 8470D 192 48x4D 12 8th 800 (N / A) MHz 65 W Yes FM2 Q2 / 2013 (OEM) 1866 MHz Richland
A6-6420K 1/2/2 4.0 (4.2) GHz 1 × 1 MB N / A N / A HD 8470D 192 48x4D 12 8th 800 (N / A) MHz 65 W Yes FM2 Q1 / 2014 1866 MHz
A8-5500 2/4/4 3.2 (3.7) GHz 2 × 2 MB N / A N / A HD 7560D 256 64x4D 16 8th 760 (N / A) MHz 65 W Yes FM2 Q3 / 2012 (OEM) 1866 MHz Trinity
A8-5600K 2/4/4 3.6 (3.9) GHz 2 × 2 MB N / A N / A HD 7560D 256 64x4D 16 8th 760 (N / A) MHz 100 W Yes FM2 Q3 / 2012 (OEM) 1866 MHz
A8-6500T 2/4/4 2.1 (3.1) GHz 2 × 2 MB N / A N / A HD 8550D 256 64x4D 16 8th 720 (N / A) MHz 45 W Yes FM2 Q3 / 2013 1600 MHz Richland
A8-6500 2/4/4 3.5 (4.1) GHz 2 × 2 MB N / A N / A HD 8570D 256 64x4D 16 8th 844 (N / A) MHz 65 W Yes FM2 Q2 / 2013 (OEM) 1866 MHz
A8-6600K 2/4/4 3.9 (4.2) GHz 2 × 2 MB N / A N / A HD 8570D 256 64x4D 16 8th 844 (N / A) MHz 100 W Yes FM2 Q2 / 2013 (OEM) 1866 MHz
A10-5700 2/4/4 3.4 (4.0) GHz 2 × 2 MB N / A N / A HD 7660D 384 96x4D 24 8th 760 (N / A) MHz 65 W Yes FM2 Q3 / 2012 (OEM) 1866 MHz Trinity
A10-5800K 2/4/4 3.8 (4.2) GHz 2 × 2 MB N / A N / A HD 7660D 384 96x4D 24 8th 800 (N / A) MHz 100 W Yes FM2 Q3 / 2012 (OEM) 1866 MHz
A10-6700T 2/4/4 2.5 (3.5) GHz 2 × 2 MB N / A N / A HD 8650D 384 96x4D 24 8th 720 (N / A) MHz 45 W Yes FM2 Q3 / 2013 1866 MHz Richland
A10-6700 2/4/4 3.7 (4.3) GHz 2 × 2 MB N / A N / A HD 8670D 384 96x4D 24 8th 844 (N / A) MHz 65 W Yes FM2 Q2 / 2013 (OEM) 1866 MHz
A10-6790K 2/4/4 4.0 (4.3) GHz 2 × 2 MB N / A N / A HD 8670D 384 96x4D 24 8th 844 (N / A) MHz 100 W Yes FM2 Q4 / 2013 (OEM) 1866 MHz
A10-6800K 2/4/4 4.1 (4.4) GHz 2 × 2 MB N / A N / A HD 8670D 384 96x4D 24 8th 844 (N / A) MHz 100 W Yes FM2 Q2 / 2013 (OEM) 2133 MHz
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
2 The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

swell

Models for notebooks

Model
number
Modules /
integer clusters /
threads
Cycle
(max.turbo)1
L2 cache Multi 2 V core GPU model GPU configuration GPU
clock (Turbo)
TDP Turbo
Core
3.0
Processor
socket
Market launch Code name
SPs Shader
units
Texture
units
ROPs
A4-4300M 1/2/2 2.5 (3.0) GHz 1 MB N / A N / A HD 7420G 192 48x4D N / A N / A 470 (640) MHz 35 W Yes FS1r2 2012 Trinity
A4-4355M 1/2/2 1.9 (2.4) GHz 1 MB N / A N / A (ULV) HD 7400G 192 48x4D N / A N / A 327 (424) MHz 17 W. Yes FP2 2012
A4-5145M 1/2/2 2.0 (2.6 GHz) 1 MB N / A N / A HD 8310G 128 N / A N / A N / A 424 (554) MHz 17 W. Yes FP2 Q2 / 2013 Richland
A4-5150M 1/2/2 2.7 (3.3 GHz) 1 MB N / A N / A HD 8350G 128 N / A N / A N / A 514 (720) MHz 35 W Yes FS1r1 Q2 / 2013
A6-4400M 1/2/2 2.7 (3.2) GHz 1 MB N / A N / A HD 7520G 192 48x4D N / A N / A 497 (686) MHz 35 W Yes FS1r2 Q2 / 2012 Trinity
A6-4455M 1/2/2 2.1 (2.6) GHz 2 MB N / A N / A (ULV) HD 7500G 256 64x4D N / A N / A 327 (424) MHz 17 W. Yes FP2 Q2 / 2012
A6-5345M 1/2/2 2.2 (2.8) GHz 1 MB N / A N / A HD 8410G 192 48x4D N / A N / A 450 (600) MHz 17 W. Yes FP2 Q2 / 2013 Richland
A6-5350M 1/2/2 2.9 (3.5) GHz 1 MB N / A N / A HD 8450G 192 48x4D N / A N / A 533 (720) MHz 35 W Yes FS1r2 Q2 / 2013
A6-5357M 1/2/2 2.9 (3.5) GHz 1 MB N / A N / A HD 8450G 192 48x4D N / A N / A 533 (720) MHz 35 W Yes FPr2 Q2 / 2013
A8-4500M 2/4/4 1.9 (2.8) GHz 2 × 2 MB N / A N / A HD 7640G 256 64x4D N / A N / A 497 (655) MHz 35 W Yes FS1r2 Q2 / 2012 Trinity
A8-4555M 2/4/4 1.6 (2.4) GHz 2 × 2 MB N / A N / A (ULV) HD 7600G 384 96x4D N / A 8th 320 (424) MHz 19 W Yes FP2 Q3 / 2012
A8-5545M 2/4/4 1.7 (2.7) GHz 2 × 2 MB N / A N / A HD 8510G 384 96x4D N / A N / A 450 (554) MHz 19 W Yes FP2 Q2 / 2013 Richland
A8-5550M 2/4/4 2.1 (3.1) GHz 2 × 2 MB N / A N / A HD 8550G 256 64x4D N / A N / A 515 (720) MHz 35 W Yes FS1r2 Q2 / 2013
A8-5557M 2/4/4 2.1 (3.1) GHz 2 × 2 MB N / A N / A HD 8550G 256 64x4D N / A N / A 554 (720) MHz 35 W Yes FP2 Q2 / 2013
A10-4600M 2/4/4 2.3 (3.2) GHz 2 × 2 MB N / A N / A HD 7660G 384 96x4D N / A 8th 497 (686) MHz 35 W Yes FS1r2 Q2 / 2012 Trinity
A10-4655M 2/4/4 2.0 (2.8) GHz 2 × 2 MB N / A N / A (ULV) HD 7620G 384 96x4D N / A 8th 360 (496) MHz 25 W Yes FP2 Q2 / 2012
A10-5745M 2/4/4 2.1 (2.9) GHz 2 × 2 MB N / A N / A HD 8610G 384 96x4D N / A 8th 533 (626) MHz 25 W Yes FP2 Q2 / 2013 Richland
A10-5750M 2/4/4 2.5 (3.5) GHz 2 × 2 MB N / A N / A HD 8650G 384 96x4D N / A 8th 533 (720) MHz 35 W Yes FS1r2 Q2 / 2013
A10-5757M 2/4/4 2.5 (3.5) GHz 2 × 2 MB N / A N / A HD 8650G 384 96x4D N / A N / A 600 (720) MHz 35 W Yes FP2 Q2 / 2013
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
[[# FN_2

_back |2

]] The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

Steamroller-based models (Kaveri, Kaveri-Refresh)

The fourth generation of the A-series was announced on November 12, 2013 at the AMD APU 13 developer conference, and was launched on January 14, 2014. It starts with the desktop area of ​​the A10-7850K, which has four threads with two Steamroller CPU modules processed in parallel.

The GPU part has a Radeon graphics unit comparable to those of the R7 models of the AMD Radeon R200 series ("Volcanic Islands"). It is based on the GCN architecture ("Graphics Core Next") version 1.1. This was introduced with the Bonaire chip, the AMD Radeon HD 7000 series . The GPU takes up almost half of the die area and is compatible with DirectX 11.2, OpenGL 4.3 and the AMD-driven 3D interface Mantle.

The APU has a heterogeneous system architecture ( Heterogeneous System Architecture or HSA) implemented for the first time in the desktop area , which is intended to improve the cooperation between CPU and GPU beyond GPGPU . The graphics section is used more intensively than before to support the processor cores in their calculations. This includes the direct exchange of information without going through an operating system ( heterogeneous queuing or hQ) and access to the same address space in the main memory ( heterogeneous uniform memory access or hUMA) so that calculation results are quickly available to both parts. An audio coprocessor is also integrated into the APU.

The thermal design power of the CPU can be configured in the BIOS using Custom Thermal Design Power (cTDP) . B. to operate the A10-7850 with 45 W or 65 W TDP. The clock frequency of the CPU is then throttled to certain values ​​depending on the cTDP. This is currently only actively advertised for the A8-7600, which is explicitly listed with 65 W and 45 W.

Due to another revision, APUs optimized for the integrated graphics with low clock speed increases came onto the market in 2015 under the name Kaveri-Refresh (unofficially Godavari ). The top model A10-7870K has e.g. Compared to the previous A10-7850K, the CPU part with 200 MHz more base clock, but only 100 MHz more turbo clock. The Kaveri and Kaveri Refresh APUs are designed for the FM2 + socket and should be combined with the Fusion Controller Hubs A58, A68H, A78 or A88X according to the manufacturer's recommendations .

Models for the desktop

Model
number
CPU
cores
Cycle
(max.turbo)1
L2 cache Multi 2 GPU
model
GPU configuration GPU
clock
(Turbo)
TDP Turbo
Core
3.0
base Market
start
Storage
controller
ALUs Shader
units
Texture
units
ROPs
Athlon X4 830 4th 3.0 (3.4) GHz 2 × 2 MB 30th deactivated 65 W Yes FM2 + Qx / 201y DDR3-1866
Athlon X4 840 4th 3.1 (3.8) GHz 2 × 2 MB 31 deactivated 65 W Yes FM2 + Q2 / 2014 DDR3-1866
Athlon X4 860K 4th 3.7 (4.0) GHz 2 × 2 MB open deactivated 95 W Yes FM2 + Q2 / 2014 DDR3-2133
Athlon X4 870K 4th 3.9 (4.1) GHz 2 × 2 MB open deactivated 95 W Yes FM2 + Q4 / 2015 DDR3-2133
Athlon X4 880K 4th 4.0 (4.2) GHz 2 × 2 MB open deactivated 95 W Yes FM2 + Q1 / 2016 DDR3-2133
A6-7400K 2 3.5 (3.9) GHz 1 MB open R5 Series 256 16 × Vec16-SIMD 24 8th (756) MHz 65 W Yes FM2 + Q2 / 2014 DDR3-1866
A8-7600 4th 3.1 (3.3) GHz
3.3 (3.8) GHz
2 × 2 MB 33 R7 2xxD 384 24 × Vec16-SIMD 24 8th 654 (720) MHz 45 W
65 W
Yes FM2 + Q2 / 2014 DDR3-2133
A8-7650K 4th 3.3 (3.7) GHz 2 × 2 MB open R7 Specter 384 24 × Vec16-SIMD 24 8th 654 (720) MHz 95 W Yes FM2 + Q1 / 2015 DDR3-2133
A8-7670K 4th 3.6 (3.9) GHz 2 × 2 MB open R7 Specter 384 24x Vec16-SIMD 24 8th 654 (757) MHz 95 W Yes FM2 + Q3 / 2015 DDR3-2133
A10-7700K 4th 3.4 (3.8) GHz 2 × 2 MB open R7 Specter 384 24 × Vec16-SIMD 24 8th 654 (720) MHz 95 W Yes FM2 + Q1 / 2014 DDR3-2133
A10-7800 4th 3.5 (3.9) GHz 2 × 2 MB 35 R7 Specter 512 32 × Vec16-SIMD 32 8th 654 (720) MHz 65 W Yes FM2 + Q2 / 2014 (OEM) DDR3-2133
A10-7850K 4th 3.7 (4.0) GHz 2 × 2 MB open R7 Specter 512 32 × Vec16-SIMD 32 8th 654 (720) MHz 95 W Yes FM2 + Q1 / 2014 DDR3-2133
A10-7860K 4th 3.6 (4.0) GHz 2 × 2 MB open R7 Specter 512 32 × Vec16-SIMD 32 8th 757 (-) MHz 65 W Yes FM2 + Q2 / 2016 DDR3-2133
A10-7870K 4th 3.9 (4.1) GHz 2 × 2 MB open R7 Specter 512 32 × Vec16-SIMD 32 8th 866 (-) MHz 95 W Yes FM2 + Q2 / 2015 DDR3-2133
A10-7890K 4th 4.1 (4.3) GHz 2 × 2 MB open R7 Specter 512 32 × Vec16-SIMD 32 8th 866 (-) MHz 95 W Yes FM2 + Q1 / 2016 DDR3-2133
1The highest clock frequency in turbo mode is only achieved with half of the total processor cores. The remaining cores must be in sleep mode
2 The clock frequency of the processors is generated from the system clock of 100 MHz and the clock multiplier

The CPUs with the abbreviation M (e.g. A10-5750M) are mobile processors, models with an appended B are business models with longer guaranteed availability (e.g. A8-Pro 7600B). These will be available for at least 24 months and the underlying software will not experience any changes over 18 months that would cause effort in the administration of the computers.

With the Kaveri code name, AMD also introduced the term FX as known from the Athlon FX , e.g. B. on the FX-7600P. The P stands for 35 watt TDP in the Kaveris for notebooks, while the models without the abbreviation manage with 19 watts or 17 watts.

The CPUs with the abbreviation K (e.g. A10-7700K) have not blocked the multiplier, known from the Phenom series in the Black Edition CPUs.

Excavator-based models (Carrizo, Bristol Ridge)

The one-chip systems with the code name Carrizo are based on Excavator CPU cores . Various models with activated GPU were presented in 2015; they are intended for use in mobile computers. In February 2016, the Athlon X4 845 followed, a Carrizo model with a deactivated GPU for use in desktop computers whose motherboard is equipped with the FM2 + socket. APUs for desktop computers with the AM4 socket are expected to appear as Bristol Ridge in 2016.

With Summit Ridge , based on the Zen architecture, a completely new processor development followed.

Models for the desktop

Model
number
CPU
cores
Cycle
(max.turbo)1
L2 cache Multi 2 GPU
model
GPU configuration GPU
clock
(Turbo)
TDP Turbo
Core
3.0
base Market
start
Storage
controller
ALUs Shader
units
Texture
units
ROPs
Athlon X4 845 4th 3.5 (3.8) GHz 2 × 1 MB 35 deactivated 65 W Yes FM2 + February 2016 DDR3-2133
Athlon X4 940 4th 3.2 (3.6) GHz 2 × 1 MB 32 deactivated 65 W Yes AT 4 July

2017

DDR4-2400
Athlon X4 950 4th 3.5 (3.8) GHz 2 × 1 MB 35 deactivated 65 W Yes AT 4 July

2017

DDR4-2400
Athlon X4 970 4th 3.8 (4.0) GHz 2 × 1 MB 38 deactivated 65 W Yes AT 4 July

2017

DDR4-2400
A6-9500E 2 3.0 (3.4) GHz 1 × 1 MB 30th Radeon R5 256 16 × Vec16-SIMD 16 8th (800) 35

W.

Yes AT 4 September 2016 DDR4-2400
A6-9500 2 3.5 (3.8) GHz 1 × 1 MB 35 Radeon R5 384 24 × Vec16-SIMD 24 8th (1029) 65

W.

Yes AT 4 September 2016 DDR4-2400
A6-9550 2 3.8 (4.0) GHz 1 × 1 MB 38 Radeon R5 384 24 × Vec16-SIMD 24 8th (1029) 65

W.

Yes AT 4 July

2017

DDR4-2400
A8-9600 4th 3.1 (3.4) GHz 2 × 1 MB 31 Radeon R7 384 24 × Vec16-SIMD 24 8th (900) 65

W.

Yes AT 4 September 2016 DDR4-2400
A10-9700 4th 3.5 (3.8) GHz 2 × 1 MB 35 Radeon R7 384 24 × Vec16-SIMD 24 8th (1029) 65

W.

Yes AT 4 September 2016 DDR4-2400
A10-9700E 4th 3.0 (3.5) GHz 2 × 1 MB 30th Radeon R7 384 24 × Vec16-SIMD 24 8th (847) 35

W.

Yes AT 4 September 2016 DDR4-2400
A12-9800E 4th 3.1 (3.8) GHz 2 × 1 MB 31 Radeon R7 512 32 × Vec16-SIMD 32 8th (900) 35

W.

Yes AT 4 September 2016 DDR4-2400
A12-9800 4th 3.8 (4.2) GHz 2 × 1 MB 38 Radeon R7 512 32 × Vec16-SIMD 32 8th (1108) 65

W.

Yes AT 4 September 2016 DDR4-2400

Web links

Individual evidence

  1. Fusion: AMD: Fusion is now called Fusion , heise.de. September 16, 2010. 
  2. a b c Michael Günsch: AMD's “Fusion” era begins today . Computerbase, January 4, 2011.
  3. ^ Homepage of the "HSA Foundation". Retrieved February 21, 2013 .
  4. Fusion: AMD shows demo and names date , heise.de. June 2, 2010. 
  5. AMD-brings-new-notebook-processor. (No longer available online.) In: heise.de. Archived from the original on June 17, 2011 ; Retrieved June 17, 2011 .
  6. Andreas Schilling: 2nd APU generation: AMD introduces "Trinity" processors. In: hardwareluxx.de. May 15, 2012, accessed April 17, 2015 .
  7. AMD Fusion Whitepaper. (PDF) (No longer available online.) Archived from the original on January 24, 2011 ; Retrieved December 9, 2010 .
  8. ^ Nico Ernst: Processors 2010: The merger begins. In: Golem.de. January 2, 2010, accessed April 17, 2015 .
  9. Revision Guide for AMD Family 14h Models 00h-0Fh Processors. (PDF; 373 kB) In: amd.com. P. 4 , accessed on May 8, 2012 (currently (April 17, 2015 will be redirected to another AMD page)).
  10. a b c Roland Neumeier (Opteron): AMD presents Jaguar Quad Module at the ISSCC. In: Planet3DNow. February 21, 2013, accessed April 17, 2015 .
  11. Volker Rißka: AMD's "Jaguar": Sharp claws and teeth on 3.1 mm². In: Computerbase. August 28, 2012, accessed April 17, 2015 .
  12. Michael Grünsch: AMD on the ISSCC 2013: Further details on "Jaguar". In: Computerbase. February 20, 2013, accessed April 17, 2015 .
  13. Anand Lal Shimpi: AMD Beema / Mullins Architecture & Performance Preview. In: anandtech. April 29, 2014, accessed April 17, 2015 .
  14. turionpowercontrol. In: Google Project Hosting. Retrieved August 5, 2012 .
  15. Revision Guide for AMD Family 12h Processors , page 4, amd.com (PDF; 326 kB) accessed on May 8, 2012
  16. Whats new in AMD APP. (No longer available online.) In: AMD Blog. December 21, 2010, archived from the original on January 16, 2011 ; accessed on January 16, 2011 .
  17. Manne Kreuzer: Fusion available for embedded soon? (No longer available online.) In: elektroniknet.de. November 3, 2010, archived from the original on November 10, 2010 ; Retrieved April 17, 2015 . Info: The archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. @1@ 2Template: Webachiv / IABot / www.elektroniknet.de
  18. AMD Fusion Family of APUs: Enabling a Superior, Immersive PC Experience. (PDF) 2010, accessed on December 9, 2010 (English, AMD ID 48423B).
  19. Volker Rißka: AMD's launch plans for “Trinity”, “Brazos 2.0”, “Vishera” and “Hondo”. In: Computerbase. May 8, 2012, accessed April 17, 2015 .
  20. Second-Generation AMD A-Series APUs Enable Best-in-Class PC Mobility, Entertainment, and Gaming Experience in Single Chip. May 15, 2012, accessed April 17, 2015 .
  21. a b c d APU13: AMD's combination processor Kaveri with 512 GPU cores from January 14th. In: heise.de. November 12, 2013, accessed April 17, 2015 .
  22. Mark Mantel: AMD Kaveri: Further benchmarks of the A10-7850K and A10-7800 emerged - 5-20% faster than A10-6800K? In: PC Games Hardware . January 9, 2014, accessed April 17, 2015 .
  23. Dustin Sklavos: AMD Kaveri A10-7850K: From DDR3-1600 to DDR3-2400. (No longer available online.) In: Corsair Blog. January 23, 2014, archived from the original on February 1, 2014 ; accessed on February 1, 2014 .
  24. Wolfgang Andermahr: AMDs Mantle is there for everyone. In: Computerbase. January 30, 2014, accessed April 17, 2015 .
  25. AMD-APU: Carrizo-L presented, Kaveri reduced in price - Article at computerbase.de , May 7, 2015
  26. Two new APUs from AMD presented at CES 2013 , computerbase.de. January 6, 2013. 
  27. Two new APUs from AMD presented at CES 2013 , computerbase.de. January 6, 2013. 
  28. a b At-A-Glance code name decoder. (No longer available online.) AMD, archived from the original on May 12, 2014 ; Retrieved September 14, 2011 .
  29. AMD Ontario: Monolithic System-on-Chip, 40nm Fabrication Process , xbitlabs.com. April 20, 2010. Archived from the original on December 28, 2010 Info: The archive link was inserted automatically and has not yet been checked. Please check the original and archive link according to the instructions and then remove this notice. . @1@ 2Template: Webachiv / IABot / www.xbitlabs.com 
  30. AMD: Details of the upcoming 2011 processor cores Bobcat and Bulldozer . November 12, 2009. 
  31. Volker Rißka: AMD presents three new low-power APUs for notebooks. In: Computerbase.de . September 27, 2012, accessed April 17, 2015 .
  32. AMD Embedded G-Series Platform. (PDF; 1.2 MB) (No longer available online.) May 23, 2011, archived from the original on June 4, 2011 ; Retrieved June 4, 2011 .
  33. ^ AMD Delivers the World's First and Only APU for Embedded Systems , amd.com. January 19, 2011. 
  34. Aurelius Wosylus, Holger Heller: A new generation of processors for embedded systems. In: Electronics Practice. July 6, 2011, accessed April 17, 2015 .
  35. a b c AMD Embedded G-Series Platform Brief. (PDF; 1.3 MB) (No longer available online.) AMD, May 12, 2011, archived from the original on June 4, 2011 ; accessed on June 4, 2011 .
  36. Two new APUs from AMD presented at CES 2013 , computerbase.de. January 6, 2013. 
  37. a b HP 255 with the new AMD SoC: HP unveils the first "Kabini" processors for notebooks , computerbase.de. May 12, 2013. 
  38. Dr @: AMD supplements Kabini portfolio with three new models , planet3dnow.de. 3rd November 2013. 
  39. a b c d AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life. In: AMD Newsroom. May 23, 2013, accessed April 17, 2015 .
  40. a b c AMD Amplifies Mobile Experience with Responsive Performance, Rich Graphics, Elite Software and Long Battery Life , amd.com. May 23, 2013. 
  41. AMD Expands Elite Mobility APU Line-Up with New Quad-Core Processor , amd.com. November 9, 2013. 
  42. AMD Fusion: Power-saving subtleties in the 32 nm chip , heise.de. February 9, 2010. 
  43. a b AMD Reveals More Llano Details at ISSCC: 32nm, Power Gating, 4-cores, Turbo? , anandtech.com. February 8, 2010. 
  44. Volker Rißka, Patrick Bellmer: This is what AMD's A8-4500M with HD 7670M does. In: Computerbase.de. May 12, 2012, accessed April 17, 2015 .
  45. Trinity: AMD is adding desktop CPUs. In: dslteam.de. October 2, 2012, accessed April 17, 2015 .
  46. computerbase.de
  47. Marc Sauter: Computex 2012: AMD officially presents the desktop Trinitys and Brazos 2.0 and shows a notebook-tablet hybrid. In: PCGamesHardware. June 6, 2012, accessed April 17, 2015 .
  48. AMD brings new desktop processors. In: heise.de. June 5, 2013, accessed April 17, 2015 .
  49. AMD Athlon X2 370K specifications. In: cpu-world.com. Retrieved April 17, 2015 .
  50. AMD Athlon X2 340 specifications. In: cpu-world.com. Retrieved April 17, 2015 .
  51. Kevin Carbotte: Don't Call It 'Godavari'; AMD Updates Kaveri APUs With DX12, FreeSync And VSR Support In: tom's HARDWARE , May 29, 2015.
  52. AMD FX-7600P “Kaveri” in the test - first impression of the notebook APU , computerbase.de. 4th June 2014. 
  53. AMD: AMD Discloses Architecture Details of High-Performance, Energy-Efficient “Carrizo” System-on-Chip , press release from February 23, 2015.
  54. Marc Sauter: AMD publishes Carrizo for Socket FM2 + In: golem.de , February 2, 2016.
  55. kitguru.net